렌탈 사업
TTC 그룹은 각종 제조/분석 장치등의 매입도 담당하고 있습니다.
또한 고객이 필요로 하는 장치에 관해 수시로 문의를 받고 있습니다.
매매에 관한 각종 문의 사항을홈페이지 문의 양식, 또는 전화(03)3344-5540로 연락 주세요.
리스, 렌탈 장치 정보

TTC ID 제조업체 형식 품명・사양 연식 수량 리스 개시 일시 가격
1ASHmar-px--E12250 March PX-1000 Asher: Plasma system 1998 1    
1ASHmar-px--Q12059 March PX-1000 Asher: Plasma system 2000 1    
1CLEdis-dcs-Q6688 Disco DCS-140 Cleaner: Wafer Inq. 1    
1CLEevg-evg-Q7297 EVG EVG-301 Cleaner/Wafer 2005 1    
1CLEhon-wdx-Q6499 Honda WDX-600-1 Cleaner: ultrasonic 2014 1    
1CLEkai-400-Q9632 KAIJO 400-28F+W300~D450~H450 Cleaning/Ultrasonic inq. 1    
1CLEsak-yhs-Q8486 Sakigake YHS-G cle: Plasma device 2013 1    
1CLEsnd-us--Q6593 SND US-20KS Cleaner: ultrasonic 2012 1    
1EVAhit-e-2-E9403 Hitachi E-201 EVAPORATION UNIT 1988 1    
1MACaki-tc--K5569 AKIRA-SEIKI TC-400 Machining: NC 2002 1    
1MACens-esd-Q9627 Enshu ESD-460 Machine/Drilling inq. 1    
1MACexe-km--Q10050 EXECN KM-3S-2PD-100V MAC:Vibration motor 2020 1    
1MACfee-inq-N0332 FEEDMAT Inq. Mac: Screw Driver Inq. 1    
1MAClux-u-5-Q9626 LUXO U-500 Machine/Cutting inq. 1    
1MACnec-inq-Q7367 NEC Inq. mac: CerDIP package opener 2003 1    
1MACsmc-cdq-Q10802 SMC CDQ2KWB20-5DZ-M9NV MAC:Cylinder inq 1    
1MACsmc-jcd-Q10793 SMC JCDQ40-15-M9NVL MAC:cylinder inq 1    
1MACsmc-jcd-Q10797 SMC JCDQ40-15-M9NVL MAC:Cylinders inq 1    
1MACsmc-jcd-Q10803 SMC JCDQA25-5-M9NV MAC:Cylinder inq 1    
1MACsmc-mgj-Q10804 SMC MGJ6-5-F8N MAC:Mini Guide Rod Cylinders inq 2    
1MACspe-24--N0548 Speedfam 24-MTW Mac: Mounting Station Inq. 1    
1MACtdk-mdm-E7117 TDK MDM-20 Machining:Underfill 2008 2    
1MACthk-bx--Q9629 THK INTECHS BX-N9064 MAC:Scriber 2009 1    
1OVEas -eg--Q6500 AS ONE EG-100 ove: Hot plate 2002 1    
1OVEchi-acs-E7072 CHIYODA Electric ACS-650 ove: Cure system 2016 1    
1OVEeta-ht3-E9401 ETAC HT330K OVE:Bake furnace 1994 1    
1OVEluc-hp--E9421 LUCHI HP-300 OVE:Hot plate inq. 1    
1OVEmsa-pa8-Q8493 MSA FACTORY PA8010 ove: Hot plate 2015 2    
1OVEsan-sbc-Q8504 Sankyo SBC-2400 ove: Conveyor furnace 2018 1    
1OVEtok-f-1-E9422 Tokyo Glass Instruments Co F-120-SP OVE:electric furnace 1997 1    
1POLall-tec-R0171 ALLIED HIGH TECH TECHPREP Polisher Inq. 1    
1POLham-c71-Q7351 Hamamatsu C7103 Polisher: IC back surface 2000 1    
1PREas -ah--Q7295 AS ONE AH-2003 Press machine 2016 1    
1PREmik-vs3-Q8575 Mikado VS38-2020 Press machine/Vacuum heating 2018 1    
1PREnik-af--N0247 Nikka Equipment AF-40A Pre: ACF Attachment 2007 1    
1PREnik-fbm-N0248 Nikka Equipment FBM-40M Pre: ACF Crimping 2007 1    
1SPUhit-e10-E9404 Hitachi E101 SPUTTER 1988 1    
1SPUimo-imc-Q8503 Imoto IMC-7801 Spu: Roll to roll 2018 1    
1SPUkit-kjs-Q8494 Kitano-seiki KJST-3000 Spu: Evaporator 2006 1    
1WELnov-tz5-Q6504 NOVAPAX TZ505 Welder: Spot 2001 1    
1WELpan-him-Q6502 Panasonic HIMAX3000 Welder 1977 1    
2CHIcan-cap-E10080 CANON CAP-3500 Chip Mounter 2006 1    
2CHIemt-wcs-E10160 EMTEC WCS-1271B Chip Mounter 2007 1    
2CHIfuj-ip--N7211 FUJI IP-3LR Chip: Placement system Inq. 1    
2CHIjuk-kj--E12641 JUKI KJ-02 Chip Mounter Inq. 1    
2CHIkis-inq-Q12062 Kiso mechatronics Inq. chi: Lead frame inserter 2001 1    
2CHImas-smt-Q7344 Mass SMT-64R Chi: Mounter/Lead-free 2001 1    
2CHIpan-cm2-R5800 Panasonic CM20F-M Chip Mounter(L>R/F) 1999 1    
2CHIpan-pan-B9702 PANASONIC Panasert-MPAV2B XLiNM-MPA25Aj CHI:Mounter 2001 1    
2CLEnag-nbc-Q11369 Nagaoka NBC-250EC-400 Cleaning device(L>R/Front) 2006 1    
2CLEsaw-inq-N7209 SAWA Inq. Cleaner: Metal stencil Inq. 1    
2CLNkom-ecu-L8004 Komatsu ECU60MC Cln: PCB cleaner 2012 1    
2CONfuj-100-L8946 FUJI 100 CM Conveyor Inq. 2    
2CONfuj-150-L8945 FUJI 150 CM Conveyor Inq. 1    
2CONfuj-cac-B8601 FUJI CAC-4060B Conveyor Inq. 2    
2CONfuj-cac-L8003 FUJI CAC-4100 Conveyor Inq. 2    
2CONfuj-inq-B8602 FUJI Inq. Conveyor Inq. 1    
2CONnag-nbc-B8603 Nagaoka NBC-250E-400 Conveyor 2005 3    
2CONnag-nbc-B8604 Nagaoka NBC-250E-400 Conveyor (With Timer) 2005 1    
2CONnag-nbc-Q8311 Nagaoka NBC-500ESZ-600 Conveyor/L>R 2017 24    
2CONnag-nbc-R5805 Nagaoka NBC-250E-400 Conveyor (With Timer) Inq. 1    
2CONnag-nbc-R5806 Nagaoka NBC-250E-400 Conveyor Inq. 4    
2CONnag-njc-X1609 Nagaoka NJC-250 Conveyor/Flip-up 2000 1    
2CONnag-njc-X1612 Nagaoka NJC-250 Conveyor Flip-up 2000 1    
2CONnag-nl2-X1611 Nagaoka NL2210E Conveyor 2004 1    
2CONpan-m90-Q11372 Panasonic M900CONV Conveyor(L>R/Front) inq. 2    
2CONys-uc--X1606 YS UC-100F Conveyor 2012 1    
2CONys-uc--X1607 YS UC-100F Conveyor 2012 1    
2CONys-uc--X1608 YS UC-100F Conveyor 2012 1    
2DISasy-s-9-E12238 ASYMTEK S-920 Dispenser 2008 1    
2DISfuj-gl--N7212 FUJI GL-2 Dispenser: Glue Inq. 3    
2DISjuk-kd--Q11365 JUKI KD-775 Dispensing Machine(L>R/Front) 2002 1    
2DISnak-inq-Q12070 Naka Liquid Control Inq. Dis: Cap Mounted Machine 2000 1    
2DISshi-qus-B8608 Shinwa Quspa-MS Dispenser: Resin 2004 2    
2INSsof-sfx-R1455 Softex SFX-100 Inspection/X-ray 2006 1    
2INSson-cpc-0315 Sony CPC-1000 Inspection/Board 2001 2    
2INSson-si--Q9939 Sony SI-V200 Inspection:visual inspection machine 2009 1    
2IN-tak-apt-Q11236 TAKAYA APT8400 In-circuit tester 1998 1    
2LITadt-ane-B8411 Adtec ANEX-A2161 Litho: exposure 2004 1    
2LIThei-dwl-L9605 HEIDELBERG DWL 200 LIT:Laser Lithography inq. 1    
2LOAfuj-mnc-W6152 FUJI MNC-4050B Loader Inq. 2    
2LOAfuj-muc-L8943 FUJI MUC-3030 Loa: Unloader Inq. 1    
2LOAfuj-muc-W6153 FUJI MUC-4050B Loa: Unloader Inq. 2    
2LOAinq-inq-Q11375 inq. inq. Loader(R>L/Front) inq. 1    
2LOAinq-uv--L8259 Inq. UV-500 Loa: Unloader Inq. 1    
2LOAjuk-kl--L8002 JUKI KL-105 Loader Inq. 1    
2LOAnag-nl0-B8600 Nagaoka NL0010TE Loader 2005 1    
2LOAnag-nl0-R5803 Nagaoka NL0010TE Loader 2004 1    
2LOAnag-nl1-B8599 Nagaoka NL1210E+C/V400 Loader 2000 1    
2LOAnag-nl--Q11371 Nagaoka NL-2210E Loader(L>R/Front) inq. 1    
2LOAnag-nus-B8605 Nagaoka NUS0010TE Loa: Unloader 2003 1    
2LOAnag-nus-B8606 Nagaoka NUS0010TE Loa: Unloader 2005 1    
2LOAnag-nus-Q11370 Nagaoka NUS-2210E LOA:Unloader(L>R/Front) inq. 1    
2LOAnag-nus-R5804 Nagaoka NUS0010TE Loa: Unloader 2004 1    
2LOAnkk-nl2-L8944 NKKK NL2310S Loader 2004 1    
2LOApan-pan-B9704 PANASONIC Panasert-MBSF LLiNM-2337Dj Loader 2001 1    
2LOApan-pan-B9705 PANASONIC Panasert-MBS LLiNM-2337Ej Loader 2001 1    
2LOAtam-ntm-L8942 Tamura NTM100L Loader 2000 1    
2PRIsen-sp--Q7370 Senju SP-2 Pri: Wetting tester 2011 1    
2REFant-uni-Q9938 Antom@ UNI6116H Reflow oven 2009 1    
2REFeig-nis-B9703 EIGHTECH TECTRON NIS-20-62C Reflow furnace 2001 1    
2REFexc-er--B8607 Excel ER-70CN Reflow oven 2005 1    
2REFexc-er--R5802 EXCEL ER-70CN Reflow Oven(L>R/F) 2004 1    
2REFhel-181-N7213 HELLER 1812SS Reflow Oven Inq. 1    
2REFmal-rcp-Q12200 MALCOM RCP-100 Reflow Checker Inq. 1    
2REFsmi-sai-S4236 SMIC SAI-3806JC Reflow Oven 2001 1    
2REFsmi-sx--E7651 SMIC SX-1508N2 Reflow Oven 2003 2    
2REFtam-tnr-Q6109 Tamura TNR25-435PH-M Reflow Oven Inq. 2    
2REFtam-tnr-S3494 Tamura TNR15-225LH-M Reflow Oven 2003 2    
2SCRmin-mk8-Q11004 MINAMI MK831SV Screen printer(L>R) 2006 1    
2SCRpan-pan-B9699 PANASONIC Panasert-SPPV XLiNM-PA05Aj SCR:Solder printing machine 2001 1    
2SCRpan-sp2-Q8387 Panasonic SP28P-DH Screen Printer 2002 1    
2SCRpan-sp2-R5801 Panasonic SP28P-D Screen Printer(L>R/F) 2000 1    
2SCRpan-sp6-Q11368 Panasonic SP60P-MU Screen printer(L>R/Front) 2008 1    
2SOLdai-bpc-Q9937 DAIICHI JITSUGYO BPC-707KD{ Solder machine 2006 2    
2SOLmas-st--Q7343 Mass ST-320NB Solder printer: Lead-free cream 2001 1    
2SOLshi-sbm-E7107 Shibuya Industries SBM300/310 Solder ball mounter 2003 2    
4ALIcan-pla-N0407 Canon PLA-501FA Aligner/3in./5in. Inq. 1    
4CLEfsi-k12-N0514 FSI K120 Clean: Rinser Dryer/2.5in. Inq. 1    
5DIGflu-85 -Q7418 Fluke 85 SERIESV Digital multimeter Inq. 1    
5DIGkey-974-Q7419 Keysight 974A Digital multimeter Inq. 1    
5DIGome-hh8-Q7435 Omega Engineering HH81 Digital thermometer Inq. 1    
5ELEadc-r61-Q7455 ADC R6144 Ele: Programmable DC voltage current generator Inq. 2    
5ELEadc-r61-Q7533 ADC R6144 Ele: Programmable DC voltage current generator 1996 1    
5ELEadc-r61-Q7534 ADC R6144 Ele: Programmable DC voltage current generator 2004 2    
5ELEadc-r62-Q7535 ADC R6243 Ele: DC voltage generator 2000 1    
5ELEadc-r64-Q7495 ADC R6452A Ele: Multi meter Inq. 1    
5ELEadc-tr6-Q7497 ADC TR6141 Ele: Programmable DC voltage current generator Inq. 1    
5ELEadc-tr6-Q7498 ADC TR6143 Ele: DC voltage generator Inq. 1    
5ELEadc-tr6-Q7539 ADC TR6143 Ele: DC voltage generator 1994 2    
5ELEadc-tr6-Q7540 ADC TR6150 Ele: DC voltage generator 1983 1    
5ELEadc-tr6-Q7541 ADC TR6150 Ele: DC voltage generator 1982 1    
5ELEadv-r61-Q7350 Advantest R6144 32V/160 A Ele: Voltage current generator 1997 2    
5ELEadv-tr6-E10769 ADVANTEST TR6845 ELE:Digital Multimeters inq 1    
5ELEadv-tr6-E10770 ADVANTEST TR6552 ELE:Digital Multimeters inq 1    
5ELEadv-tr6-E9416 ADVANTEST TR6848 ELE:DIGITAL MULTIMETER inq. 1    
5ELEadv-tr6-Q7460 Advantest TR6824 Ele: Multi meter Inq. 1    
5ELEanr-m-2-Q7437 Anritsu M-215C Ele: Attenuator Inq. 1    
5ELEcat-usb-Q7461 CATC USB TRAFFIC GENERETOR Ele: USB TRAFFIC GENERETOR Inq. 1    
5ELEflu-83 -Q7415 Fluke 83 SERIESV Ele: Multi meter Inq. 1    
5ELEfuj-ape-Q7366 Fujita APE904U Ele: Diode DC energizer 2008 1    
5ELEgig-803-Q7478 GIGA TRONICS 80301A Ele: Power sensor Inq. 1    
5ELEgig-854-Q7515 GIGA TRONICS 8542B elec: Power meter/dual 2003 1    
5ELEinq-inq-Q7378 Inq. Inq. Ele: Socket resistance meter 2006 1    
5ELEiwa-ds--E9414 IWATSU DS-8623 ELE:DIGITAL STORAGESCOPE 1990 1    
5ELEiwa-os--E9415 IWATSU OS-8608 ELE:DIGITAL STORAGESCOPE 1995 1    
5ELEiwa-sc--E9417 IWATSU SC-7202 ELE:UNIVERSAL COUNTER inq. 1    
5ELEiwa-voa-Q7463 Iwatsu VOAC7513 Ele: Multi meter Inq. 1    
5ELEiwa-voa-Q7464 Iwatsu VOAC83 Ele: Multi meter Inq. 2    
5ELEkei-kei-E10778 KEITHLEY KEITHLEY236 ELE:SMU inq 1    
5ELEkey-894-Q7518 Keysight 89441A ele: Vector signal analyzer 1997 1    
5ELEkey-e23-Q7429 Keysight E2373A Ele: Multi meter Inq. 1    
5ELEkey-e23-Q7430 Keysight E2378A Ele: Multi meter Inq. 1    
5ELEkey-n53-Q7528 Keysight N5302A/N5343A ele: DigRF Exerciser 2010 4    
5ELEkik-dme-Q7427 Kikusui DME1500 Ele: Multi meter Inq. 1    
5ELEkok-sct-E9413 KOKUYO ELECTRIC SCT-5T ELE:curve tracer 1988 1    
5ELElec-lc5-Q9577 LeCroy LC574AL ELE:Oscilloscope inq. 1    
5ELEluc-ib4-R0174 LUCAS LABS IB420 Elec: Mass Spectrum Analyzer 1996 1    
5ELEluc-ib4-R0175 LUCAS LABS IB420 Elec: Mass Spectrum Analyzer 1996 1    
5ELEmic-msa-Q7527 Micronix MSA338 Elec: Spectrum Analyzer 2005 1    
5ELEnec-nk--Q7368 NEC NK-1 Ele: Static electricity measurement 2005 1    
5ELEnec-qb--Q7453 NEC QB-78F1026-ZZZ Ele: In-circuit emulator Inq. 1    
5ELEnf -502-E10779 NF Electronics Instruments 5020 ELE:Frequency Response Analyzer inq 1    
5ELEnf -m-1-E9419 NF ELECTRONIC@INSTRUMENTS M-177 ELE:VOLTMETER inq. 1    
5ELEnid-r-5-Q8255 Nidec R-5520ix Elec: Insulation inspection Inq. 1    
5ELEnoi-ess-Q7355 Noiseken ESS-603003 18KG Ele: ESD tester 1984 1    
5ELEsan-cd1-Q7422 Sanwa CD110 Ele: Multi meter Inq. 1    
5ELEsan-cd7-Q7423 Sanwa CD770 Ele: Multi meter Inq. 1    
5ELEsan-pc5-Q7444 Sanwa PC510 Ele: Multi meter Inq. 3    
5ELEson-afg-E10776 Sony Tektronix AFG2020 ELE:function generator inq 1    
5ELEtex-dl--Q7425 Texio DL-2050 Ele: Multi meter Inq. 5    
5ELEtex-dl--Q7426 Texio DL-2051 Ele: Multi meter Inq. 1    
5ELEtok-510-S5017 Tokyo Cathode 5100 Elec: Probe card inspection Inq. 1    
5ELEtok-515-S5015 Tokyo Cathode 5150 Elec: Probe card inspection Inq. 1    
5ELEtok-520-S5016 Tokyo Cathode 5200 Elec: Probe card inspection Inq. 1    
5ELEtok-rik-E10772 Tokyo Riko Riko@slidetrance@RSA-1 ELE:transformer inq 2    
5ELEwav-sia-Q7391 WaveCrest SIA-3000 Ele: Signal Integrity Analyzer Inq. 1    
5ELEyok-706-Q7364 Yokogawa 706012-1-D Ele: FG120 Function Generator 2005 2    
5ELEyok-754-Q7477 Yokogawa 7544 01 Ele: Multi meter Inq. 2    
5ELEyok-ax1-Q7384 Yokogawa AX100 Ele: Memory Stick Protocol Analyzer Inq. 1    
5ELEyok-ax2-Q7387 Yokogawa AX220 Ele: SD card protocol analyzer Inq. 1    
5INSdkl-ru--R0168 DKL RU-700 Inspection: Review/Defect 1995 1    
5INSgen-cl--Q10046 Gentec-EO/thorlabs CL-25/MVL50M23 INS:Beam profiler/Beamage-4M 2017 1    
5INSham-c93-E4962 Hamamatsu C9334-01etc Ins: FFP measurement unit Inq. 1    
5INShit-u-2-Q6855 Hitachi U-2000 Insp: spectrophotometer Inq. 1    
5INShit-u-2-Q6856 Hitachi U-2010 Insp: spectrophotometer Inq. 1    
5INShit-wi--Q0156 HITACHI WI-890 Inspection/Wafer Visual /6in. 1997 1    
5INShit-z-5-Q6852 Hitachi Z-5310 Insp: spectrophotometer/flame Inq. 1    
5INShit-z-5-Q6853 Hitachi Z-5010 Insp: spectrophotometer/Zeeman Inq. 1    
5INShor-ema-R0169 Horiba EMAX-5770 Inspection: X-ray Microanalyxer 1995 1    
5INSjai-jhs-Q8597 JAI JHS-100 Insp.: Purge & Trap Sampler Inq. 1    
5INSnik-gp--Q10041 Nikka GP-1-T INS:Goniophotometer 2016 1    
5INSsan-mi--R0165 SANWA MI-476 Inspection: Oxide Film Evaluation Tool Inq. 1    
5INSsci-300-Q9961 Scitec instruments 300CD Insp: Optical chopper inq 1    
5INSsci-420-Q9960 Scitec instruments 420 Insp: lock-in amplifier inq 1    
5INSsei-sai-L9608 SEIKO SAI 9600S INSPECTION:WAFER 1991 1    
5INStos-tos-S4405 Toshiba itc TOSMICRON@6130FP Inspection:X-ray Inq. 1    
5INSyok-aq2-Q7519 Yokogawa AQ2105 Ins: Multi meter 1988 1    
5MEAavi-tvs-Q7542 Avionics TVS-8500 Meas: Infrared thermography 2005 1    
5MEAdns-vl--Q0159 DNS VL-M6000 Measurement/Thickness /6in. 1993 1    
5MEAdns-vl--Q0160 DNS VL-M6000 Measurement/Thickness /6in. 1995 1    
5MEAflu-51-Q7400 Fluke 51 Meas: thermometer Inq. 1    
5MEAhoz-dt--Q7428 Hozan DT-510 Meas: thermometer Inq. 1    
5MEAinq-le1-Q7362 Inq. LE12520RNN Meas: Temperature recorder 2000 1    
5MEAinq-tvs-Q7363 Inq. TVS-110 Meas: Handy thermo 2000 1    
5MEAkey-ls--Q10792 KEYENCE LS-9500 Measuring:high-precision dimensional inq 1    
5MEAsha-mod-Q6503 SHAW MODEL@SHA meas: Dew point meter 1991 1    
5MEAsks-sk--Q7457 skSATO SK-1100/SK-K010 Meas: thermometer Inq. 1    
5MEAsks-sk--Q7458 skSATO SK-1250MCV Meas: thermometer Inq. 1    
5MICact-act-S4238 ACTIVE ACT-0LS Microscope 1998 1    
5MICapo-kma-Q11492 Apollo Precision KMA6-R Microscope: Laser 2013 1    
5MICas -da1-Q9633 AS ONE DA1-180M Microscope 2020 1    
5MICkey-vh--E10765 Keyence VH-5000 Microscope inq 1    
5MICkey-vk--E9967 KEYENCE VK-8510 Microscope 2005 1    
5MICmei-emz-Q12079 Meiji techno EMZ Microscope 2001 1    
5MICnik-ecl-E10121 Nikon ECLIPSE l200 Microscope inq 1    
5MICnik-inq-Q7530 Nikon Inq. Microscope 1994 1    
5MICnik-mic-Q7523 Nikon MICROPHOT-FXL Microscope 1993 1    
5MICnik-opt-E10122 Nikon OPTIPHOT@300 Microscope inq 1    
5MICnik-opt-R0170 Nikon OPTIPHOT-88 Microscope/8in. Inq. 1    
5MICnik-smz-Q7538 Nikon SMZ-U Microscope 1993 1    
5MIColy-bh2-E9406 Olympus BH2-RFL-T2 microscope 1986 1    
5MIColy-bh2-E9407 Olympus BH2-MJL microscope/Metallurgical 1985 1    
5MIColy-bh2-Q11490 Olympus BH2-MJLT Microscope 1986 1    
5MIColy-bhm-Q8598 Olympus BHM-MD Microscope Inq. 1    
5MIColy-stm-E9409 Olympus STM microscope/Single-lens 1990 1    
5MIColy-stm-Q12073 Olympus STM-UM-BDZ Microscope 2001 1    
5MIColy-sz4-Q12075 Olympus SZ4045 Microscope 2001 1    
5MIColy-sz4-Q12077 Olympus SZ4045 Microscope 2001 1    
5MIColy-sz4-Q12078 Olympus SZ4045 Microscope 2001 1    
5MIColy-sz6-Q12076 Olympus SZ6045 Microscope 2001 1    
5OTHas -hs--N0249 AS ONE HS-50D Other: Magnetic Stirrer Inq. 1    
5OTHb&w-bwr-Q9958 B&W TEK inc + Sigma BWR-20E/55869 OTH:1064 nm laser inq 1    
5OTHbro-lvd-Q8666 Brookfield LVDV-2+PRO-CP other: Viscometer 2005 1    
5OTHbsc-sh4-Q7536 BSC Filters SH4457 oth: High pass filter 2007 1    
5OTHbsc-sh4-Q7537 BSC Filters SH4458 oth: High pass filter 2007 1    
5OTHbsc-xn4-Q7543 BSC Filters XN4455 oth: Notch filter 2007 1    
5OTHbsc-xn4-Q7544 BSC Filters XN4456 oth: Notch filter 2007 1    
5OTHcan-850-Q7353 Canon 850670H-0312 Other: LABVIEWUPGRADE 2000 1    
5OTHcat-adv-Q7420 CATC Advisor Oth: USB 2.0 Bus & Protocol Analyzer Inq. 1    
5OTHcbc-vm--Q8667 CBC VM-10A-M other: Viscometer Inq. 1    
5OTHcho-uj--Q6509 Cho-onpa UJ-246-1C other: Wire pulltester 1991 1    
5OTHcom-32m-Q7386 COMPUTEX 32M41 Oth: In-circuit debugger Inq. 1    
5OTHesp-tsa-E7102 Espec TSA-71H-W other: Thermal shock tester 2001 1    
5OTHfuj-ape-Q9489 fujita APE-PWIGBT OTH:Bias tester 2010 1    
5OTHfuj-fth-Q7342 Fujita FTH-100 other: Tharmalfet tester 2000 1    
5OTHhio-312-Q7401 Hioki 3127 Other: Clamp on tester Inq. 1    
5OTHhio-380-Q7501 Hioki 3805 other: High Tester 2005 1    
5OTHhio-lr8-Q9687 HIOKI LR8431 OTH:Memory High Logger inq. 1    
5OTHhir-pc--Q6508 Hirayama PC-204RV other: Pressurecooker 1990 1    
5OTHhit-ec--Q9488 Hitachi EC-45MHPS OTH:temperature and humidity Testing Chamber 2010 1    
5OTHhit-es--Q6481 Hitachi ES-76LH other: Thermal shock tester 2007 1    
5OTHhit-mi--E9405 Hitachi Power Solutions mi-scopeMI-25 OTH:Ultrasonic imaging equipment 1997 1    
5OTHinq-lta-Q0155 Inq. LTA-330A Other: Wafer Lifetime Measuring/5in. Inq. 1    
5OTHite-ap--Q9490 iTEC AP-20-3S-OP/AP-20-3S-BT OTH:OP tester 2004 2    
5OTHkey-lc--E10774 Keyence LC-2101 OTH:laser displacement meter inq 1    
5OTHkey-lc--E10775 Keyence LC-2210 OTH:laser displacement meter inq 1    
5OTHkoh-k2--Q10897 Kohzu K2-200 OTH:Flatness measuring inq. 1    
5OTHmau-181-Q7505 MAURY MICROWAVE 1819B Oth: Stub tuner 2005 1    
5OTHmau-804-Q7395 MAURY MICROWAVE 8045C Oth: Slide screw tuner Inq. 2    
5OTHmic-inq-E7100 Michinokumachinery Inq. Other: strength tester 2008 1    
5OTHmic-mmd-Q7524 Micronix MMD850 oth: Microwave detector 2005 1    
5OTHmic-mt3-Q10038 Microtrac MT3100II/SDC OTH:Particle size distribution analyzer 2016 1    
5OTHmin-zhl-Q7399 MINI-CIRCUITS ZHL-4240 Oth: High frequency amplifier Inq. 1    
5OTHmis-msn-Q10787 MISUMI MSND2.3-30 OTH:Temperature Sensor inq 1    
5OTHmis-msn-Q10790 MISUMI MSND2.3-30 OTH:Temperature Sensor inq 1    
5OTHmit-cd--E10777 Mitutoyo CD-45C OTH:vernier calipers inq 1    
5OTHmit-id--R0172 MITUTOYO ID-C112C Other: Digimatic Indicator Inq. 1    
5OTHmt/-inq-Q7356 MT/Hitachi-hitech Inq. Other: EEPROM meas. board 2010 1    
5OTHnar-375-Q7500 NARDA 3752 Oth: PHASE SHIFTER 2005 1    
5OTHnf-165-Q7499 NF 1650 Oth: Pattarn synthesizer 1994 1    
5OTHnf-ck1-Q7382 NF CK1620 Oth: Clock synthesizer Inq. 2    
5OTHnf-ck1-Q7424 NF CK1615 Oth: Clock synthesizer Inq. 2    
5OTHnf-es2-Q7393 NF ES2000B Oth: Power environment simulator Inq. 1    
5OTHnf-es2-Q7394 NF ES2000S Oth: Power environment simulator Inq. 1    
5OTHoha-ex2-Q8488 OHAUS EX2202G oth: Electronic scale Inq. 2    
5OTHors-aos-Q10044 ORSA AOS303-445-30 OTH:Single-mode fiber blue light source 2016 1    
5OTHors-hpb-Q10045 ORSA HPB455-3 OTH:Multimode Fiber Blue Light Source 2016 1    
5OTHots-qe2-Q10039 Otsuka QE2100 OTH:Quantum Effect Measurement System 2016 1    
5OTHoyo-ait-E10059 OYO AITOS OTH:Light Source inq 3    
5OTHoyo-aw1-E10078 OYO AW1050 OTH:light source inq 1    
5OTHpro-inq-Q7365 Production Technology Center Kyushu Inq. Other: Substrate strength tester 2008 1    
5OTHrhe-ptr-Q12072 Rhesca PTR-1000 oth: Bonding tester 2001 1    
5OTHroh-inq-Q7398 Rohde & Schwarz Inq. Oth: Radio tester Inq. 1    
5OTHsan-inq-R0340 SANWA Inq. Other: TDDB Probe Station 1996 1    
5OTHsem-wt--R0338 SEMILAB WT-85 Other: Life time measuring Inq. 1    
5OTHshi-ehf-E7281 Shimazu EHF-FB10KN-10LA Other: Servo Parsa 2000 1    
5OTHshi-uv2-E10780 SHINDAIGO UV225 OTH:vacuum packaging machine inq 1    
5OTHsig-inq-Q10043 SIGMA KOKI inq OTH:Auto-rotating stages and controllers 2021 1    
5OTHsig-sgs-Q9959 Sigma Koki SGSP20-20+SHOT202 OTH:Autostage+ stage controller inq 3    
5OTHson-inq-Q7531 SONY Inq. oth: DD digital photo camera 1994 1    
5OTHtek-er8-R3955 Teknologue ER8940A Other: Tester Inq. 1    
5OTHtek-stc-Q7352 Tektronix STC399X-99158-7 Other: GPIB controller 2000 1    
5OTHtsk-em--Q5883 TSK EM-21 other: Tester 2000 1    
5OTHyok-417-Q7407 Yokogawa 4177-100-11 Oth: R100 RBI recorder Inq. 1    
5OTHyok-ax2-Q7421 Yokogawa AX220 Oth: SD card protocol analyzer Inq. 1    
5POWkik-pmc-Q7345 Kikusui PMC35-2A Power Supply: DC 1996 4    
5POWmiy-pab-Q7340 Miyake PAB70-1A Power Supply: DC 2014 4    
5POWmiy-pmc-Q7337 Miyake PMC110-0.6A/TPO650-1/PAD1K-02L Power Supply: DC 2014 11    
5POWmiy-pmc-Q7339 Miyake PMC110-0.6A Power Supply: DC 2014 2    
5POWmiy-tbs-Q7338 Miyake TBS Power Supply: DC 2014 3    
5POWnec-pr2-Q7369 NEC PR20-250 Power Supply: DC 2006 2    
5SEMhit-s-2-E9402 Hitachi S-2300 SEM:Scanning electron microscope 1988 1    
5SEMhit-s-2-Q9957 Hitachi S-2600N SEM/Scanning electron microscope 2003 1    
6ALIcan-pla-Q6470 Canon PLA-501F Aligner 1990 1    
6ASHhit-ua3-L9610 HITACHI UA3150 Asher/Stripper inq. 1    
6ASHpla-des-Q11482 Plasma systems DES-206 Asher 1984 1    
6DRYshi-cde-Q11483 Shibaura CDE-7-4 Dry Etching: CDE 1994 1    
6OTHush-uma-Q10890 USHIO UMA-1002-HC82TT OTH:UV Cure 1992 1    
6WETmac-w8m-Q10896 Macoho W8MN-P052(}`TtF[XJr.) WET blast processing cell equipment 2015 1    
7CLEdis-inq-Q7468 Disco Inq. Cle: Stay clean injector 2009 1    
7CLEkkc-dra-N0280 KKC Draft Clean: Draft Inq. 3    
7CLEnik-s12-Q7828 NIKUNI S1200-D04 Cle: Stay clean injector 2009 2    
7CLEnms-ndb-Q7467 NMS NDB-3 Cle: CO2 Bubbler 2001 1    
7CLEnom-on8-Q7827 NOMURA MICRO SCIENCE ON8004-002T Cle: CO2 Bubbler 2001 1    
7CLEyok-cm5-R0634 YOKOGAWA CM500 Clean Room Gas Monitor 2000 1    
7CLNas -h1--Q6501 AS ONE H1-1000 CLN: Clean booth 2008 1    
7CLNdan-inq-Q12042 Dan takuma Inq. Cln: Clean Bench 2018 1    
7CLNmbr-lab-Q8663 MBRAUN LABmasterSP CLN: Glovebox 2008 1    
7CLNnih-cbu-Q10913 Nihon Spindle CBU 2-2115 CLN:Clean booth inq. 1    
7CLNnih-cbu-Q8662 Nihon Spindle CBU3-3025 CLN: Clean booth Inq. 1    
7CLNnip-ast-Q9690 NIPPON MUKI AST-56-90@610~610~290t CLN:Astron filter inq. 1    
7CLNnit-inq-Q10315 NITTO inq CLN:air shower inq 1    
7CLNosh-m-p-Q9635 Oshitari M-P-500-A ~R CLN:filter inq. 1    
7CLNosh-slv-E7283 Oshitari SLV Cln: filter Inq. 20    
7CLNtop-tm1-E7282 Topre TM15TPS Cln: Fan filter Inq. 20    
7GASama-or--R0295 AMAT OR-4ZA Gas: Ozonator Inq. 1    
7GASerm-erc-E9410 ERMA OPTICAL@WORKS ERC-3310 GAS:DEGASSER 1985 1    
7GAShit-npo-W9480 Hitachi NPO-114TX5 GAS:nitrogen generator 2007 1    
7GASnih-pmc-Q12071 Nihonsanso PMC-2 Gas: N2 generator 2001 1    
7GASsan-s-6-Q8490 Sanki S-60 gas: Scrubber 2016 1    
7GASyam-yaf-Q7298 Yamato YAF GasFLiquid nitrogen unit 2018 1    
7OTHche-e-2-S1242 Chemitronics E-280 Other: UV irradiation tool Inq. 2    
7OTHckd-hyw-Q9631 CKD HYW2012C-S308 OTH:Chiller 2007 1    
7OTHdai-dpc-Q10047 Daitron DPC-100 OTH:Peltier controller 2010 2    
7OTHdel-inq-Q9962 DELL(WindowsXP) inq OTH:Desktop PC inq 1    
7OTHelg-pur-Q7299 ELGA PURELAB flex oth: Ultrapure water device 2015 1    
7OTHesp-sth-R0716 ESPEC STH-120 Other: High-Temp Chamber 2000 1    
7OTHfam-vc--X2207 Fameccs VC-1200 Other: Cooling Unit Inq. 1    
7OTHhak-bcs-Q9637 Hakko BCS1110 OTH:Heater inq. 1    
7OTHhit-osp-Q11380 HITACHI OSP-15VARN OTH:Air Compressor 2011 1    
7OTHhok-sms-E10226 HOKUETSU SMS4-C OTH:compressor inq 1    
7OTHinq-inq-Q7860 Inq. Inq. Others: Repair parts Inq. 1    
7OTHinq-inq-Q8484 Inq. Inq. oth: Metal case 2015 1    
7OTHinq-inq-Q8495 Inq. Inq. other: Worktable Inq. 1    
7OTHinq-mhr-E12242 Inq. MHRS-2014 other: Miharu system 2017 1    
7OTHinq-n2 -N0306 Inq. N2 BOX Other: N2 Box Inq. 1    
7OTHint-ia--S2011 Inter Action IA-OPT026W Other: Light source for image sensor test Inq. 1    
7OTHkey-vt3-E10771 Keyence VT3-V8 OTH:touch panel inq 1    
7OTHmis-mch-Q10786 MISUMI MCHK5-30-V200-W40 OTH:Cartridge Heater inq 1    
7OTHmis-mch-Q10789 MISUMI MCHK5-30-V200-W40 OTH:Cartridge Heater inq 1    
7OTHmis-mtc-Q10788 MISUMI MTCRM OTH:Temperature controller inq 1    
7OTHmis-mtc-Q10791 MISUMI MTCRM OTH:Temperature controller inq 1    
7OTHnih-nf--N0141 Nihon Freezer NF-140S Other: Freezer Inq. 1    
7OTHopk-pl--E10227 OPK PL-H1000-15 OTH:lifter inq 1    
7OTHorg-pur-E10781 ORGANO PURIC-MX OTH:ultrapure water system inq 1    
7OTHori-inq-E7258 ORION Inq. Other: Chiller 2014 3    
7OTHsan-mov-N0312 SANYO MOV-1025 Other: Thermostatic Bath Inq. 1    
7OTHsmc-mhz-Q10794 SMC MHZ2-10D2-M9NL OTH:Air Gripper inq 1    
7OTHsmc--Q10795 SMC lgy2-6c2-M9NL OTH:Air Gripper inq 1    
7OTHsmc-mhz-Q10798 SMC MHZ2-10D2-M9NL OTH:Air Gripper inq 1    
7OTHsmc--Q10799 SMC lgy2-6c2-M9NL OTH:Air Gripper inq 1    
7OTHsmc-mxj-Q10796 SMC MXJ12-50ZA-M9NL OTH:Air Slide Table inq 1    
7OTHsmc-mxj-Q10800 SMC MXJ12-50ZA-M9NL OTH:Air Slide Table inq 1    
7OTHsmc-mxq-Q10805 SMC MXQ12-30C-M9N OTH:Air Slide Table inq 1    
7OTHsmc-mxs-Q10801 SMC MXS8-10A-M9NVL OTH:Air Slide Table inq 1    
7OTHsmc-mxs-Q10808 SMC MXS8-10A-MPNVL OTH:Cylinder inq 1    
7OTHsun-sch-Q9636 Sun Art SCH-900 OTH:IC Control Heater inq. 1    
7OTHtai-gbr-N0099 TAIKA GBR-10 Other: Mantle Heater 2008 1    
7OTHtel-rd--S2978 TEL RD-9900 Other: Dryer 2007 1    
7OTHtel-tpg-Q7459 TELEVIEW TPG430B other: MPEG Stream Station Inq. 1    
7OTHthk-skr-Q10806 THK SKR3306A-0045-R1-1-Q08MD000L OTH:LM Guide inq 1    
7OTHthk-skr-Q10807 THK SKR3306A-0045-R1-1-Q08MD000L OTH:LM Guide inq 1    
7OTHxyz-da -Q9634 XYZprinting da Vinci 1.0 Pro 3-in-1 OTH:Printer 2017 1    
7OVEadv-fc--N0098 ADVANTEC FC-612 Oven Inq. 1    
7OVEas -ltc-E12700 AS ONE LTC-1200A ove: Cooling Water Circulator 2012 1    
7OVEesp-pvh-E10161 ESPEC PVHC-230 OVEN 1997 1    
7OVEesp-pvh-Q11187 Espec PVHC-230 OVE:Clean Bake 1992 1    
7OVEisu-ssf-N0140 Isuzu Seisakusho SSF-113S+AT-S13 Oven/Drying +Controller Inq. 1    
7OVEiuc-ahs-Q12074 IUCHI AHSU-1500 ove: Draft chamber 2001 1    
7OVEtab-pv--Q6474 TABAI@ESPEC PV-220 Oven 1990 1    
7OVEtoy-mo--N0097 TOYAMA SANGYO MO-9216 Oven 1995 1    
7OVEulv-dah-Q12080 ULVAC DAH-60 Ove:Vacuum Defoamer 2001 1    
7OVEyam-dkn-Q12060 YAMATO DKN402 oven: Air blower constant temperature bath 2006 1    
7OVEyam-dkn-Q12061 YAMATO DKN402 oven: Air blower constant temperature bath 2007 1    
7OVEyam-ih4-R0715 Yamato IH400 Ove: Incubator Inq. 1    
7POWadv-smu-E10768 ADVANTEST SMU@TR6143 power inq 1    
7POWflu-412-Q7507 Fluke 412B Power Supply/DC 1970 1    
7POWhei-ks7-Q8533 Heiwadengen KS700-2 Power Supply Inq. 1    
7POWhei-ks7-Q8534 Heiwadengen KS700-2 Power Supply Inq. 1    
7POWinq-dp--Q10049 inq DP-3003ADP-3002S Power Supply:DC inq 1    
7POWkey-662-Q7514 Keysight 6622A Power Supply/Multi output 1988 3    
7POWkey-e36-Q7432 Keysight E3620A Power Supply/DC Inq. 1    
7POWkik-pad-Q7532 Kikusui PAD35-20L Power Supply/DC 1981 1    
7POWkik-pmc-Q7445 Kikusui PMC18-3 Power Supply/DC Inq. 1    
7POWkik-pmc-Q7446 Kikusui PMC18-5 Power Supply/DC Inq. 1    
7POWkik-pmc-Q7487 Kikusui PMC18-3A Power Supply/DC Inq. 1    
7POWkik-pmc-Q7488 Kikusui PMC18-5A Power Supply/DC Inq. 1    
7POWkik-pmc-Q7489 Kikusui PMC35-1 Power Supply/DC Inq. 1    
7POWkik-pmc-Q7490 Kikusui PMC35-3A Power Supply/DC Inq. 1    
7POWkik-pmc-Q7491 Kikusui PMC500-0.1A Power Supply/DC Inq. 1    
7POWkik-pmm-Q7447 Kikusui PMM24-1QU Power Supply/DC Inq. 2    
7POWkik-pow-E10767 Kikusui PowerSupply@PAB8-5@ power inq 1    
7POWkyo-sle-E9418 KYOWA SLE-10H POWER UNIT inq. 1    
7POWmet-544-Q7475 Metronix 544B Power Supply/DC Inq. 1    
7POWmet-dms-Q7480 Metronix DMS18-3 Power Supply/DC Inq. 7    
7POWmet-dms-Q7520 Metronix DMS18-8 Power Supply/DC 1987 1    
7POWshi-pa1-Q8537 Shibasoku PA14A1 Power Supply: UltraLowNoise Inq. 1    
7POWtak-gp0-Q7483 Takasago GP0110-10R Power Supply/DC Inq. 1    
7POWtak-gp0-Q7484 Takasago GP060-20 Power Supply/DC Inq. 1    
7POWtak-kx--Q7436 Takasago KX-100L Power Supply/DC Inq. 1    
7POWtak-nl0-Q7529 Takasago NL035-30 Power Supply/DC 1987 1    
7POWtak-tei-Q8532 Takasago TEIDENRYUDENGEN Power Supply Inq. 1    
7POWtak-tmk-Q7496 Takasago TMK1.0-50 Power Supply/DC Inq. 1    
7POWtex-pa1-Q7438 Texio PA10-5B Power Supply/DC Inq. 1    
7POWtex-pa1-Q7439 Texio PA18-3A Power Supply/DC Inq. 14    
7POWtex-pa1-Q7440 Texio PA18-6A Power Supply/DC Inq. 15    
7POWtex-pa7-Q7486 Texio PA70-1 Power Supply/DC Inq. 1    
7POWtex-par-Q7441 Texio PAR18-5 Power Supply/DC Inq. 1    
7POWtex-par-Q7442 Texio PAR18-6A Power Supply/DC Inq. 1    
7POWtex-par-Q7443 Texio PAR20-4H Power Supply/DC Inq. 3    
7POWtex-pw1-Q7449 Texio PW18-1T Power Supply/DC Inq. 1    
7POWtex-pw1-Q7492 Texio PW18-1.8AQ Power Supply/DC Inq. 1    
7POWtex-pw3-Q7493 Texio PW36-1 Power Supply/DC Inq. 1    
7POWtex-pwr-Q7450 Texio PWR18-1T Power Supply/DC: Multi-output Inq. 4    
7POWtex-pwr-Q7451 Texio PWR18-2P Power Supply/DC Inq. 2    
7POWtex-pwr-Q7452 Texio PWR18-2TP Power Supply/DC Inq. 2    
7POWtex-pwr-Q7494 Texio PWR18-2TP Power Supply/DC Inq. 1    
7POWyok-768-Q7402 Yokogawa 768121 Power Supply/DC Inq. 1    
7PUMane-v06-Q8157 Anelva V060S Pump/Dry Inq. 1    
7PUMard-d-6-Q8106 ARDUC D-650D Pump/Rotary Inq. 2    
7PUMard-d-9-Q8109 ARDUC D-950D Pump/Rotary Inq. 2    
7PUMbro-tor-Q8149 Brooks TORR-100 Pump/Cryo Inq. 1    
7PUMcan-100-Q8085 Canon-anelva 1000C Pump: TMP Inq. 1    
7PUMcan-201-Q8088 Canon-anelva 2012A Pump/Rotary Inq. 1    
7PUMcan-203-Q8093 Canon-anelva 2033C Pump/Rotary Inq. 1    
7PUMcan-203-Q8094 Canon-anelva 2033SD Pump/Rotary Inq. 2    
7PUMcan-206-Q8095 Canon-anelva 2063 Pump/Rotary Inq. 1    
7PUMcan-a10-Q8098 Canon-anelva A10S Pump/Dry Inq. 1    
7PUMcan-cap-Q8101 Canon-anelva CAP-121 Pump/Cryo Inq. 2    
7PUMcan-cap-Q8102 Canon-anelva CAP-80MK2 Pump/Cryo Inq. 1    
7PUMcan-da--Q8113 Canon-anelva DA-60D Pump/Diaphragm Inq. 2    
7PUMcan-krc-Q8126 Canon-anelva KRC-901 Pump/Rotary Inq. 2    
7PUMcan-mbh-Q8134 Canon-anelva MBH-600F Pump/Mechanical booster Inq. 1    
7PUMcan-tc2-Q8142 Canon-anelva TC200VW Pump/COMPOUND MOLECULAR Inq. 1    
7PUMcan-tg--Q8143 Canon-anelva TG-1300VM Pump: TMP Inq. 1    
7PUMcan-ts5-Q8151 Canon-anelva TS50VW Pump/HELICAL GROOVED Inq. 1    
7PUMdia-dpf-Q8116 DIAVACLIMITED DPF-6Z Pump/Diffusion Inq. 2    
7PUMdio-dqp-E9412 DIONEX DQP-1 PUM:Personalpump 1991 1    
7PUMedw-dp--Q8114 Edwards DP-40 Pump/Dry Inq. 1    
7PUMedw-dp--Q8115 Edwards DP-80 Pump/Dry Inq. 1    
7PUMedw-eh2-Q6179 EDWARDS EH250 Pump: booster/8in. Inq. 1    
7PUMedw-eh2-Q6180 EDWARDS EH250 Pump: booster/8in. Inq. 1    
7PUMedw-eh2-Q6182 EDWARDS Japan EH250 Pump: booster/8in. Inq. 1    
7PUMedw-eh--Q6173 EDWARDS EH-250F Pump: booster/8in. 1995 1    
7PUMedw-eh--Q6174 EDWARDS EH-250 Pump: booster/8in. 1994 1    
7PUMedw-eh--Q6175 EDWARDS EH-250 Pump: booster/8in. 1994 1    
7PUMedw-iqd-Q8123 Edwards IQDP-40 Pump/Dry Inq. 1    
7PUMedw-qdp-Q6181 EDWARDS Japan QDP40 Pump: Dry/8in. Inq. 1    
7PUMedw-qdp-Q6243 EDWARDS QDP80 Pump: Dry/8in. Inq. 1    
7PUMedw-qdp-Q6245 EDWARDS Japan QDP80 Pump: Dry/8in. Inq. 1    
7PUMedw-qmb-Q6177 EDWARDS Japan QMB1200 Pump: Dry/8in. Inq. 1    
7PUMedw-qmb-Q6178 EDWARDS Japan QMB1200 Pump: Dry/8in. Inq. 1    
7PUMedw-qmb-Q6184 EDWARDS Japan QMB1200F Pump: Dry/8in. Inq. 1    
7PUMhak-d-6-Q8107 Hakuto D-650D Pump/Rotary Inq. 1    
7PUMhak-d-9-Q8110 Hakuto D-950DK Pump/Rotary Inq. 1    
7PUMhit-160-Q8086 Hitachi 160VP Pump/Rotary Inq. 1    
7PUMhit-vt6-Q6183 Hitachi VT600 Pump: Dry/8in. Inq. 1    
7PUMhor-bf3-Q8100 Horiba BF3 5CCM Pump/Inq. Inq. 1    
7PUMiri-ff--Q8119 Irie FF-20BT1-07 Pump/Bellows Inq. 1    
7PUMjap-np--E9411 Japan Precision Science NP-S-321 PUM:Personalpump 1985 1    
7PUMkas-206-Q8096 Kashiyama 2063 Pump/Rotary Inq. 1    
7PUMkas-kmb-Q8124 Kashiyama KMB-101P Pump/Mechanical booster Inq. 1    
7PUMkas-krc-Q8125 Kashiyama KRC-350S Pump/Rotary Inq. 4    
7PUMkas-krs-Q8127 Kashiyama KRS-1301 Pump/Rotary Inq. 1    
7PUMkas-krs-Q8129 Kashiyama KRS-901 Pump/Rotary Inq. 5    
7PUMkas-krs-Q8130 Kashiyama KRS-901(޲·) Pump/Rotary Inq. 1    
7PUMkas-lem-Q8131 Kashiyama LEM40MA Pump/Water-sealed Inq. 1    
7PUMkas-pmb-Q8137 Kashiyama PMB006C Pump/Mechanical booster Inq. 1    
7PUMkas-sd--Q8140 Kashiyama SD-60V2 Pump/Dry Inq. 1    
7PUMnag-v20-Q8159 Nagase V204S Pump/Cryo Inq. 2    
7PUMnec-201-Q8087 NEC 2012A Pump/Rotary Inq. 3    
7PUMnec-201-Q8089 NEC 2015 Pump/Rotary Inq. 3    
7PUMnec-201-Q8090 NEC 2015C1 Pump/Rotary Inq. 1    
7PUMnec-203-Q8091 NEC 2033 Pump/Rotary Inq. 5    
7PUMnec-203-Q8092 NEC 2033C Pump/Rotary Inq. 1    
7PUMnec-206-Q8097 NEC 2063C Pump/Rotary Inq. 2    
7PUMnec-d-6-Q8105 NEC D-650D Pump/Rotary Inq. 2    
7PUMnec-d-9-Q8108 NEC D-950D Pump/Rotary Inq. 1    
7PUMnec-da--Q8111 NEC DA-20D Pump/Diaphragm Inq. 1    
7PUMnec-da--Q8112 NEC DA-40S Pump/Diaphragm Inq. 1    
7PUMnec-eh2-Q8118 NEC EH250 Pump/Mechanical booster Inq. 1    
7PUMnec-gvd-Q8122 NEC GVD135A Pump/Rotary Inq. 1    
7PUMnec-krs-Q8128 NEC KRS-1800 Pump/Rotary Inq. 3    
7PUMnec-mb--Q8132 NEC MB-100 Pump/Mechanical booster Inq. 2    
7PUMnec-pmb-Q8136 NEC PMB-006A Pump/Mechanical booster Inq. 3    
7PUMnec-tg3-Q8144 NEC TG374MVA(޺) Pump: TMP Inq. 1    
7PUMnte-v20-Q8158 NTE V204S Pump/Cryo Inq. 1    
7PUMscr-pj1-Q8135 Screen SPE PJ100 Pump/High pressure generator Inq. 1    
7PUMshi-mb--Q8133 Shimazu MB-30 Pump/Mechanical booster Inq. 1    
7PUMshi-tmp-Q8145 SHIMADZU TMP-1003LMC 8 Pump: TMP Inq. 1    
7PUMshi-tmp-Q8146 SHIMADZU TMP-1003LMC-3 Pump: TMP Inq. 2    
7PUMshi-tmp-Q8148 SHIMADZU TMP-280-L-F Pump: TMP Inq. 1    
7PUMsmi-r-6-Q8139 SMIT R-620 Pump/Diffusion Inq. 1    
7PUMsuz-tor-Q8150 Suzukishokan TORR8 Pump/Cryo Inq. 1    
7PUMtdy-e2m-Q8117 TDY E2M80 Pump/Rotary Inq. 2    
7PUMtdy-tur-Q8152 TDY TURBO VAC 1000C Pump: TMP Inq. 1    
7PUMtoy-ar -Q8099 Toyoko kagaku AR 5CCM Pump/Inq. Inq. 1    
7PUMulv-dah-Q6590 ULVAC DAH-60H Pump: vacuum 1991 1    
7PUMulv-da--Q6591 ULVAC DA-60S Pump: vacuum 1990 1    
7PUMulv-g-1-Q8120 Ulvac G-100D Pump/Rotary Inq. 1    
7PUMulv-g-1-Q8121 Ulvac G-100D-100V Pump/Rotary Inq. 1    
7PUMulv-g-2-Q6592 ULVAC G-20D Pump: vacuum 1991 1    
7PUMulv-gld-E10420 ULVAC GLD-137J Pump:Rotary 2021 1    
7PUMulv-pmb-Q8138 Ulvac PMB-006C Pump/Mechanical booster Inq. 1    
7PUMulv-utm-Q8154 Ulvac UTM 1400FW/D1A Pump: TMP Inq. 1    
7PUMulv-utm-Q8155 Ulvac UTM1400FWD1K Pump: TMP Inq. 1    
7TRAden-dkh-E10306 DENKEN DKH-SJ200 Transfer/IC inq 2    
7TRAtak-trf-S0884 Takatori TRF-P8 Transfer/Wafer 1996 1    
8COAmse-vrd-E10419 MSETEK VRD-8000 COA:Developer 2000 1    
8DRYulv-va2-R0861 ULVAC VA2000 Dry Etch/8in. Inq. 1    
9ANAshi-wl9-E10081 Shibasoku WL93CE ANA:Tester 2002 1    
9ANAter-a56-E10162 Teradyne A56AM ANA:Tester 2002 1    
9ANAter-a56-E10164 Teradyne A560 ANA:Tester 1999 2    
9ANAter-a56-E12469 Teradyne A560 Analog Tester Inq. 1    
9ANAter-j92-E10082 Teradyne J921 ANA:Tester 1996 1    
9ANAyok-cd -S1854 YOKOGAWA CD COM.MPX Analog: TS1000's Parts Inq. 1    
9ANAyok-ppa-S1855 YOKOGAWA PPAD Analog: TS1000's Parts Inq. 1    
9ANAyok-pps-S1853 YOKOGAWA PPSA Analog: TS1000's Parts Inq. 1    
9ANAyok-pps-S1857 YOKOGAWA PPSA Analog: TS1000's Parts Inq. 6    
9ANAyok-ppv-S1858 YOKOGAWA PPVI MAIN PBA Analog: TS1000's Parts Inq. 15    
9ANAyok-uvi-S1856 YOKOGAWA UVI Analog: TS1000's Parts Inq. 4    
9BURchu-bsd-E12243 Chuo Riken BSD-164 Burn-in 1990 1    
9BURchu-bsd-E12244 Chuo Riken BSD-164C/CW Burn-in 2006 1    
9BURfuj-fbs-E10304 Fujita FBS1000 Burn-in 1999/2000 4    
9BURfuj-ha1-K6135 Fujita HA1200 Burn-in tester 2000 1    
9BURfuj-ha1-K6136 Fujita HA11002XB Burn-in tester 2002 1    
9BURfuj-ha--E12247 Fujita HA-2200 Burn-in 1995-1999 4    
9BURinq-mah-E12253 Inq. MAH-B Burn: inserter/extractor 1997 1    
9BURinq-mah-E12254 Inq. MAHC-03 Burn: inserter/extractor 1997 1    
9BURinq-mah-E12256 Inq. MAH-C Burn: inserter/extractor 1997-2000 3    
9BURinq-mah-E12257 Inq. MAH-F Burn: inserter/extractor 2000 1    
9BURjec-pro-E12246 JEC PROFIT 1100A Burn-in 1995-1996 3    
9BURmei-sys-E7057 MEISEI Electron SYSTEM-9 Burn-in 1990 1    
9BURnem-k12-E12255 NEM K120376 Burn: inserter/extractor 1991 1    
9BURstk-sys-E7058 STK Technology SYSTEM-9(VDD2) Burn-in 2003 1    
9BURtod-tr8-E10303 TODO TR8000CH-CS BUR:IC insertion/extraction 1999 1    
9BURyam-mb1-E12249 Yamada denon MB10000B2 Burn-in 1990 2    
9BURyok-af8-E12245 Yokogawa AF8630 Burn-in 1995-1997 3    
9DICazu-asm-Q6475 Azumi ASM2501 Dic: UV Irradiation System 2017 1    
9DICdis-dfd-E11963 Disco DFD641 Dicer 2000 1    
9DICmed-mat-K6134 MEDEC/JCM MAT-100 Dic: BGA Tape Mounter 2007 1    
9DICnit-nel-E10478 NITTO NEL-1508N DIC :wafer mounter 1998 1    
9DICtec-uvc-E10403 TECHNOVISION UVC-408 DIC:UV irradiation 2017 1    
9DICtok-a-w-E10300 Tokyo Seimitsu A-WD-5000A Dicer 2002 1    
9DIEasm-ad8-E12696 ASM AD896M-IL08 Die bonder 2004 1    
9DIEfas-db--S5251 FASFORD DB-500 Die Bonder Inq. 1    
9DIEhit-db--E7061 HITACHI DB-730/730AC/730SP Die bonder 2004 1    
9DIEnec-bco-Q12065 NEC machinery BCOW die: Cure oven 2000 1    
9DIEnec-cap-J1359 NEC Machinery CAP300 Dies Picker 2003 1    
9DIEnec-cap-J1360 NEC Machinery CAP300 Dies Picker 2004 2    
9DIEnec-cps-Q12063 NEC machinery CPS-100VX Die bonder 2000 1    
9DIEnec-cps-Q12064 NEC machinery CPS-100VX Die bonder 2000 1    
9DIEsci-st--E9420 scientific ST-POSM Z DIE:tweezers bonder 2014 1    
9FLImit-mff-E12239 Mitsubishi Electric MFFB-300A-01 Flip Chip Bonder 1998 1    
9FLIyam-yhp-S2740 YAMAHA YHP-2 Flip Chip Bonder 2012 2    
9GRIbue-eco-E7095 BUEHLER EcoMet3 Grinder / Polisher Inq. 1    
9GRImar-mc--E10773 MARUTO MC-110 Grinder inq 1    
9HANdjt-sah-E12251 DJTECH SAH-8400 Handler 2011 1    
9HANeps-ns7-E10308 EPSON NS7080 Handler inq 1    
9HANsyn-sx1-E12252 SYNAX SX1101H2 Handler 2004 1    
9HANtes-hs2-E10305 Tesec HS2000 Handler inq 1    
9HANy.a-a-2-E12248 Y.A.C. A-282D Handler 2007-2010 4    
9HIGtes-781-N4407 Tesec 7818-HV High Voltage Unit Inq. 1    
9LCDadv-t73-E11972 Advantest T7313 LCD: Logic Tester 1996 1    
9LCDyok-ts7-E10079 Yokogawa TS700 LCD:Tester 1996 1    
9MEMadv-inq-Q7358 Advantest/AT Engineering Inq. Mem: Performance board for T-5334 2008 4    
9MEMadv-inq-Q7360 Advantest/AT Engineering Inq. Mem: Performance board for T-5334 2008 1    
9MEMadv-t33-Q9515 Advantest T3335P Memory Tester 1990 1    
9MEMadv-t53-6451 ADVANETEST T5371 Memory: Tester 2000 1    
9MEMadv-t53-E11155 ADVANTEST T5335P MEM:Tester 1998 2    
9MEMadv-t53-S4450 ADVANTEST T5335P Memory Tester Inq. 1    
9MEMat -inq-Q7357 AT Engineering Inq. Mem: Performance board for T-5334 2007 1    
9MEMat -inq-Q7359 AT Engineering Inq. Mem: Performance board for T-5334 2008 4    
9MEMat -inq-Q7361 AT Engineering Inq. Mem: Performance board for T5334 48 FHK 2009 1    
9MEMfuj-bt1-Q7466 Fujita BT1064 Memory tester 2011 1    
9MEMfuj-bt1-Q7826 FUJITA BT1064F Memory Tester 2011 1    
9MEMinn-ram-E10766 Innovations RAMcheck LX MEM:ROM writer inq 1    
9MIXyok-ts1-E12744 Yokogawa TS1000 Mix: Tester 1990-1998 4    
9MIXyok-ts9-E10163 Yokogawa TS900 MIX:Tester inq 1    
9PACapi-map-E7106 APIC YAMADA MAPS-370J Packaging: Singulation system 2011 1    
9PACcan-cap-S0021 Canon Machinery CAP500 Package Picker 2005 1    
9PACcan-cap-S0022 Canon Machinery CAP500 Package Picker 2005 1    
9PACcan-cap-S0023 Canon Machinery CAP500 Package Picker 2007 1    
9PAChar-hmc-E12701 Harmo HMC-F743A pac: Mold temperature controller 2012 1    
9PACico-ci--E10307 ICOS CI-8250 PAC:Scanner 2000 1    
9PACico-ci--E12240 ICOS CI-8250 Pac: Visual Inspection Inq. 1    
9PACkla-ci--E11070 KLA/ICOS CI-8250 PAC:Inspection machine 1998 1    
9PACkla-ci--E12258 KLA(ICOS) CI-8250CY Pac: Lead Inspection System 2000 1    
9PACnec-fam-S4403 NEC FAMS-MTR Packaging tools Inq. 1    
9PACnec-fam-S4404 NEC FAMS-CMT Packaging tools Inq. 2    
9PAComr-sl4-Q11185 Omron SL473D2 PAC:Laser marker 1996 1    
9PAComr-sl4-Q11186 Omron SL473D2 PAC:Laser marker 1992 1    
9PACori-jpf-A9129 ORIGIN JPF-3A Pac: Capping 2003 1    
9PACpan-pc3-E9888 Panasonic PC32P-M PAC:PLASMA CLEANING SYSTEM Inq 1    
9PACsod-tr2-E12699 Sodick TR20VRE pac: Injection Molding Machine 2012 1    
9PACsto-ns--E12703 Stolz NS-15 pac: Dehumidifying Dryer/ Mold 2012 1    
9PACsto-sx--E12702 Stolz SX-160 pac: Low speed axial crusher/ Mold 2012 1    
9PACsun-lp--S4241 SUNX LP-V15U Pac: Laser Marker Inq. 1    
9PACsyn-r20-E12054 Synex R2000 Package: Molding 2003 2    
9PACtak-lmh-E7080 TAKAKI Factory LMH-3000 Pac: Laser marker 2007 1    
9PACtak-tmt-E7085 TAKAKI Factory TMT-2000 Packaging system 2010 1    
9PACtop-vi--Q9301 TOPCON Vi-4202@ pac:Chip visual inspection equipment 2012 2    
9PACtop-vi--Q9307 TOPCON Vi-4202@ pac:Chip visual inspection equipment 2013 1    
9PACyas-li7-E12055 Yasunaga LI700-HS/T Package: IC Scanner 2003 1    
9PACyas-li--E12241 Yasunaga LI-700HS/T Pac: Lead Inspection System 2000 1    
9PACyas-li--E12259 Yasunaga LI-700HS/T Pac: Visual Inspection 1998 1    
9PACyas-li--E7119 Yasunaga LI-700B Pac: Visual Inspection 2006 1    
9PROesj-tps-R0166 ESJ TPS-8000 Probe: TDDB Probe Station/8in. Inq. 1    
9PROoya-oym-Q6510 Oyama OYM-401 Prober 2000 1    
9PROtcl-mod-E9126 TCL MODEL-150 Prober Inq. 1    
9PROtel-20s-E12470 TEL 20SR Prober 1985-1990 7    
9PROtel-20s-Q9542 TEL 20S prober inq. 4    
9PROtok-20s-E10119 Tokyo Electron 20SR Prober 1993 1    
9PROtok-20s-E10123 Tokyo Electron 20SR Prober 1991 1    
9PROtok-20s-E10124 Tokyo Electron 20SR Prober 1997 1    
9PROtok-20s-E10125 Tokyo Electron 20SR Prober 1988 5    
9PROtok-20s-E10126 Tokyo Electron 20SR Prober 1989 5    
9PROtok-20s-E10127 Tokyo Electron 20SR Prober 1990 5    
9PROtok-20s-E10128 Tokyo Electron 20SR Prober 1994 2    
9PROtok-80w-E10120 Tokyo Electron 80W Prober 1995 4    
9PROtsk-a-p-E9122 TSK A-PM-60C Prober Inq. 2    
9PROtsk-a-p-E9123 TSK A-PM-50A Prober 2002-2004 5    
9PROtsk-a-p-N0105 TSK A-PM-50A Prober/6in. Inq. 1    
9PROtsk-em--N0104 TSK EM-20-100 Prober/6in. 1987 1    
9PROwen-280-E9125 Wentworth 2801 Prober Inq. 1    
9PROwen-awp-E9124 Wentworth AWP1050 ATC Prober Inq. 1    
9PROwen-awp-E9328 WENTWORTH AWP1080@MTC Prober inq. 1    
9RFIshi-wl9-E12463 Shibasoku WL93-ACE rfic: Tester Inq. 1    
9RFIyok-ts--E11891 Yokogawa TS-1000 RFIC: Tester 1997-1998 2    
9SOCadv-t65-E12465 Advantest T6575 SoC Tester 2005-2007 2    
9SOCadv-t65-E12466 Advantest T6573 SoC Tester 2001-2002 2    
9SOCagi-v10-S1241 Agilent V1008 SoC Tester Inq. 5    
9SOCter-ip7-E12041 Teradyne IP750EMP SoC Tester Inq. 2    
9SOCter-j75-E11276 TERADYNE J750 SoC:IC Tester 2004-2010 5    
9SOCyok-ts6-E12464 Yokogawa TS600 ULTRA-EYE SoC Tester Inq. 1    
9TAPalp-act-E7086 ALPHA DESIGN ACT-120V Tape: Pick up system 2006 1    
9TAPalp-act-E7087 ALPHA DESIGN ACT-120V Tape: Pick up system 2007 1    
9TAPapi-a-m-E7088 APIC YAMADA A-MAPS/TAPE Tape: Pick up system 2003 1    
9TAPkas-kec-E11892 Kashima-electro KEC-2401 Taping machine 2016 1    
9TAPnit-nel-E10477 NITTO NEL@H304 Tape remover 1992 1    
9TAPnit-nel-E10479 NITTO NELD304 Taping device 1989 1    
9TAPtak-atm-E7090 TAKATORI ATM-9000 Tape: Pick up system 1999 1    
9TAPtak-etm-E7108 TAKAKI Factory ETM-3300 Taping system 2007 1    
9TAPtod-tti-E12260 Todo TTI-7500 Taping machine 2014 1    
9TESglo-kt--N4409 Global Numeric Inc KT-95S Tester Inq. 4    
9TESter-a56-E12468 Teradyne A56A2 Tester Inq. 2    
9VLSadv-t33-E10473 Advantest T3326A VLS:Tester 1995 2    
9VLSadv-t33-E12467 Advantest T3347 VLSI: Tester Inq. 2    
9VLSadv-t33-Q11184 ADVANTEST T3347A VLS:Tester inq. 1    
9VLSadv-t53-E10474 Advantest T5371 VLS:Tester 2003 2    
9VLSadv-t65-E10475 Advantest T6573 VLS:Tester 2001 1    
9VLSadv-t66-E9695 Advantest T6672 VLS:Tester inq. 1    
9VLSter-j97-E12261 Teradyne J973 VLSI: IC tester 2000 1    
9VLStsk-em--N0106 TSK EM-20 VLSI Tester Inq. 1    
9VLSyok-al9-E10302 Yokogawa AL9737 VLS:Tester 1999 1    
9VLSyok-al9-E10881 Yokogawa AL9737 VLS:Tester 2000 1    
9VLSyok-ts6-E7600 Yokogawa TS6000H VLSI: Tester Inq. 1    
9WIRkai-fb--E12697 Kaijo FB-118A Wire Bonder 1998 1    
9WIRkai-fb--E12698 Kaijo FB-118CH Wire Bonder Inq. 1    
9WIRkai-fb--Q12066 KAIJO FB-131 Wire Bonder 2000 1    
9WIRkai-fb--Q12081 KAIJO FB-131 Wire Bonder 1999 1    
9WIRkai-fb--S1374 KAIJO FB-131 Wire Bonder Inq. 1    
9WIRshi-swb-Q6476 Shinkawa SWB-FA-US Wire Bonder 1991 1    
9WIRshi-utc-E10301 Shinkawa UTC-2000 Wire bonder 2006 1    
9WIRshi-utc-E7134 Shinkawa UTC-200BI Wire Bonder 1996 1    
9WIRshi-utc-E7136 Shinkawa UTC-370/BI Wire Bonder 2000 3    

PAGE TOP