レンタル事業
TTCグループでは、各種製造/分析装置などの買い取りも行なっております。
また、探して欲しい装置につきましても、随時承っております。
ご希望がありましたら、売買共にこちらのフォームから、又は (03)3344-5540 迄お問合せ下さい。
リース・レンタル装置情報

TTC ID メーカー 型式 品名・仕様 年式 台数 リース開始時期 価格
1ASHmar-px--E12250 マーチ PX-1000 プラズマクリーナー 1998 1    
1ASHmar-px--Q12059 マーチ PX-1000 プラズマクリーナ 2000 1    
1CLEdis-dcs-Q6688 ディスコ DCS-140 ウエハ洗浄機 Inq. 1    
1CLEevg-evg-Q7297 EVGジャパン EVG-301 ウェハ洗浄装置 2005 1    
1CLEhon-wdx-Q6499 本多電子 WDX-600-1 超音波洗浄機 2014 1    
1CLEkai-400-Q9632 カイジョー 400-28F+W300×D450×H450 超音波洗浄漕 inq. 1    
1CLEsak-yhs-Q8486 魁半導体 YHS-G プラズマ装置 2013 1    
1CLEsnd-us--Q6593 エスエヌディ US-20KS 超音波洗浄機 2012 1    
1EVAhit-e-2-E9403 日立ハイテク E-201 蒸発装置 1988 1    
1MACaki-tc--K5569 アキラ精機 TC-400 NC加工機 2002 1    
1MACens-esd-Q9627 遠州工業株式会社 ESD-460 ボール盤 inq. 1    
1MACexe-km--Q10050 EXECN KM-3S-2PD-100V 振動モータ 2020 1    
1MACfee-inq-N0332 FEEDMAT Inq. ねじ締め機 Inq. 1    
1MAClux-u-5-Q9626 ラクソー U-500 切断加工機 inq. 1    
1MACnec-inq-Q7367 NECパーチェシングサービス Inq. サーディップパッケージオープナー 2003 1    
1MACsmc-cdq-Q10802 SMC CDQ2KWB20-5DZ-M9NV 薄型シリンダ 回止め inq 1    
1MACsmc-jcd-Q10793 SMC JCDQ40-15-M9NVL 薄型シリンダ inq 1    
1MACsmc-jcd-Q10797 SMC JCDQ40-15-M9NVL 薄型シリンダ inq 1    
1MACsmc-jcd-Q10803 SMC JCDQA25-5-M9NV 薄型シリンダ inq 1    
1MACsmc-mgj-Q10804 SMC MGJ6-5-F8N ミニガイドロッドシリンダ inq 2    
1MACspe-24--N0548 スピードファム 24-MTW マウンティングステイション Inq. 1    
1MACtdk-mdm-E7117 TDK MDM-20 アンダーフィル 2008 2    
1MACthk-bx--Q9629 THKインテックス BX-N9064 スクライバー 2009 1    
1OVEas -eg--Q6500 AS ONE EG-100 ホットプレート 2002 1    
1OVEchi-acs-E7072 チヨダ ACS-650 自動加熱加圧処理装置 2016 1    
1OVEeta-ht3-E9401 エタック HT330K ベーク炉 1994 1    
1OVEluc-hp--E9421 井内盛栄堂 HP-300 ホットプレート inq. 1    
1OVEmsa-pa8-Q8493 MSA FACTORY PA8010 超高音ホットプレート 2015 2    
1OVEsan-sbc-Q8504 三共 SBC-2400 コンベア炉 2018 1    
1OVEtok-f-1-E9422 東京硝子器械株式会社 F-120-SP 電気炉 1997 1    
1POLall-tec-R0171 ALLIED HIGH TECH TECHPREP 研磨機 Inq. 1    
1POLham-c71-Q7351 浜松ホトニクス C7103 IC裏面研磨装置 2000 1    
1PREas -ah--Q7295 アズワン AH-2003 加熱プレス機 2016 1    
1PREmik-vs3-Q8575 ミカド機器 VS38-2020 真空熱加圧装置 2018 1    
1PREnik-af--N0247 日化設備エンジニアリング AF-40A ACF貼付装置 2007 1    
1PREnik-fbm-N0248 日化設備エンジニアリング FBM-40M ACF本圧着機 2007 1    
1SPUhit-e10-E9404 日立ハイテク E101 イオンスパッター 1988 1    
1SPUimo-imc-Q8503 井元製作所 IMC-7801 ロール to ロール 2018 1    
1SPUkit-kjs-Q8494 北野精機 KJST-3000 真空蒸着装置 2006 1    
1WELnov-tz5-Q6504 NOVAPAX TZ505 スポット溶接機 2001 1    
1WELpan-him-Q6502 Panasonic HIMAX3000 抵抗溶接機 1977 1    
2CHIcan-cap-E10080 キヤノン CAP-3500 チップマウンタ 2006 1    
2CHIemt-wcs-E10160 EMTEC WCS-1271B チップマウンタ 2007 1    
2CHIfuj-ip--N7211 富士機械 IP-3LR 異形マウンタ Inq. 1    
2CHIjuk-kj--E12641 ジューキ KJ-02 チップマウンタ Inq. 1    
2CHIkis-inq-Q12062 木曽メカトロニクス Inq. リードフレーム挿入機 2001 1    
2CHImas-smt-Q7344 マス商事 SMT-64R 鉛フリー用コンパクトマウンタ 2001 1    
2CHIpan-cm2-R5800 パナソニック CM20F-M 多機能マウンタ(L>R/F) 1999 1    
2CHIpan-pan-B9702 パナソニック Panasert-MPAV2B XL(NM-MPA25A) 異形機マウンター 2001 1    
2CLEnag-nbc-Q11369 ナガオカ NBC-250EC-400 上面基板クリーニング装置(左→右/手前) 2006 1    
2CLEsaw-inq-N7209 サワー Inq. メタルマスク洗浄機 Inq. 1    
2CLNkom-ecu-L8004 コマツ ECU60MC PCB 洗浄機 2012 1    
2CONfuj-100-L8946 FUJI 100 CM コンベア Inq. 2    
2CONfuj-150-L8945 FUJI 150 CM コンベア Inq. 1    
2CONfuj-cac-B8601 FUJI CAC-4060B 中間コンベア Inq. 2    
2CONfuj-cac-L8003 FUJI CAC-4100 コンベア Inq. 2    
2CONfuj-inq-B8602 FUJI Inq. 中間コンベア Inq. 1    
2CONnag-nbc-B8603 ナガオカ製作所 NBC-250E-400 中間コンベア 2005 3    
2CONnag-nbc-B8604 ナガオカ製作所 NBC-250E-400 中間コンベア(タイマー付) 2005 1    
2CONnag-nbc-Q8311 ナガオカ NBC-500ESZ-600 コンベア/L>R 2017 24    
2CONnag-nbc-R5805 ナガオカ NBC-250E-400 中間コンベア(タイマー付) Inq. 1    
2CONnag-nbc-R5806 ナガオカ NBC-250E-400 中間コンベア Inq. 4    
2CONnag-njc-X1609 ナガオカ製作所 NJC-250 跳ね上げコンベア 2000 1    
2CONnag-njc-X1612 ナガオカ製作所 NJC-250 跳ね上げコンベア 2000 1    
2CONnag-nl2-X1611 ナガオカ製作所 NL2210E コンベア 2004 1    
2CONpan-m90-Q11372 パナソニック M900CONV 検査コンベア(左→右/手前) inq. 2    
2CONys-uc--X1606 ワイエス UC-100F コンベア 2012 1    
2CONys-uc--X1607 ワイエス UC-100F コンベア 2012 1    
2CONys-uc--X1608 ワイエス UC-100F コンベア 2012 1    
2DISasy-s-9-E12238 アシムテック S-920 UF装置 2008 1    
2DISfuj-gl--N7212 富士機械 GL-2 接着剤塗布機 Inq. 3    
2DISjuk-kd--Q11365 JUKI KD-775 SMT接着剤塗布機(左→右/手前) 2002 1    
2DISnak-inq-Q12070 ナカリキッドコントロール Inq. キャップ搭載機 2000 1    
2DISshi-qus-B8608 進和 Quspa-MS 樹脂塗布機 2004 2    
2INSsof-sfx-R1455 ソフテックス SFX-100 X線TV検査装置 2006 1    
2INSson-cpc-0315 ソニー CPC-1000 外観検査装置 2001 2    
2INSson-si--Q9939 ソニー SI-V200 部品外観検査機 2009 1    
2IN-tak-apt-Q11236 タカヤ APT8400 インサーキットテスタ 1998 1    
2LITadt-ane-B8411 アドテックエンジニアリング ANEX-A2161 自動露光機 2004 1    
2LIThei-dwl-L9605 ハイデルベルク DWL 200 レーザーリソグラフィ inq. 1    
2LOAfuj-mnc-W6152 FUJI MNC-4050B 基板供給 ローダ Inq. 2    
2LOAfuj-muc-L8943 FUJI MUC-3030 アンローダー Inq. 1    
2LOAfuj-muc-W6153 FUJI MUC-4050B 基板収納 アンローダ Inq. 2    
2LOAinq-inq-Q11375 inq. inq. ローダ(右→左/手前) inq. 1    
2LOAinq-uv--L8259 Inq. UV-500 アンローダー Inq. 1    
2LOAjuk-kl--L8002 ジューキ KL-105 ローダー Inq. 1    
2LOAnag-nl0-B8600 ナガオカ製作所 NL0010TE ローダー 2005 1    
2LOAnag-nl0-R5803 ナガオカ NL0010TE ローダー 2004 1    
2LOAnag-nl1-B8599 ナガオカ製作所 NL1210E+C/V400 ローダー 2000 1    
2LOAnag-nl--Q11371 ナガオカ NL-2210E ローダ(左→右/手前) inq. 1    
2LOAnag-nus-B8605 ナガオカ製作所 NUS0010TE アンローダー 2003 1    
2LOAnag-nus-B8606 ナガオカ製作所 NUS0010TE アンローダー 2005 1    
2LOAnag-nus-Q11370 ナガオカ NUS-2210E アンローダ(左→右/手前) inq. 1    
2LOAnag-nus-R5804 ナガオカ NUS0010TE アンローダー 2004 1    
2LOAnkk-nl2-L8944 NKKK NL2310S ローダー 2004 1    
2LOApan-pan-B9704 パナソニック Panasert-MBSF LL(NM-2337D) 基板供給装置 2001 1    
2LOApan-pan-B9705 パナソニック Panasert-MBS LL(NM-2337E) 基板供給装置 2001 1    
2LOAtam-ntm-L8942 タムラ NTM100L ローダー 2000 1    
2PRIsen-sp--Q7370 千住金属工業 SP-2 ぬれ性テスター 2011 1    
2REFant-uni-Q9938 アントム  UNI6116H N2リフロー炉 2009 1    
2REFeig-nis-B9703 エイテックテクトロン NIS-20-62C リフロー炉 2001 1    
2REFexc-er--B8607 エクセル ER-70CN リフロー炉 2005 1    
2REFexc-er--R5802 EXCEL ER-70CN リフロー(L>R/F) 2004 1    
2REFhel-181-N7213 HELLER 1812SS リフロー炉 Inq. 1    
2REFmal-rcp-Q12200 マルコム RCP-100 リフローチェッカー Inq. 1    
2REFsmi-sai-S4236 千住金属 SAI-3806JC リフロー炉 2001 1    
2REFsmi-sx--E7651 SMIC SX-1508N2 リフロー炉 2003 2    
2REFtam-tnr-Q6109 タムラ製作所 TNR25-435PH-M リフロー炉 Inq. 2    
2REFtam-tnr-S3494 タムラ TNR15-225LH-M 小型リフロー炉 2003 2    
2SCRmin-mk8-Q11004 ミナミ MK831SV スクリーン印刷機(左>右) 2006 1    
2SCRpan-pan-B9699 パナソニック Panasert-SPPV XL(NM-PA05A) クリームはんだ印刷機 2001 1    
2SCRpan-sp2-Q8387 パナソニック SP28P-DH クリームはんだ印刷機 2002 1    
2SCRpan-sp2-R5801 パナソニック SP28P-D 高速スクリーン印刷機(L>R/F) 2000 1    
2SCRpan-sp6-Q11368 パナソニック SP60P-MU スクリーン印刷機(左→右/手前) 2008 1    
2SOLdai-bpc-Q9937 第一実業テクノロジ- BPC-707KD+ はんだ外観機 2006 2    
2SOLmas-st--Q7343 マス商事 ST-320NB 鉛フリー用クリーム半田印刷 2001 1    
2SOLshi-sbm-E7107 澁谷工業 SBM300/310 はんだボールマウンタ 2003 2    
4ALIcan-pla-N0407 キヤノン PLA-501FA アライナー/3in./5in. Inq. 1    
4CLEfsi-k12-N0514 FSI K120 リンサドライヤ/2.5in. Inq. 1    
5DIGflu-85 -Q7418 フルーク 85 SERIESV ディジタルマルチメータ Inq. 1    
5DIGkey-974-Q7419 キーサイト・テクノロジー 974A ディジタルマルチメータ Inq. 1    
5DIGome-hh8-Q7435 Omega Engineering HH81 ディジタル温度計 Inq. 1    
5ELEadc-r61-Q7455 エーディーシー R6144 プログラマブル直流電圧電流発生器 Inq. 2    
5ELEadc-r61-Q7533 エーディーシー R6144 プログラマブル直流電圧電流発生器 1996 1    
5ELEadc-r61-Q7534 エーディーシー R6144 プログラマブル直流電圧電流発生器 2004 2    
5ELEadc-r62-Q7535 エーディーシー R6243 直流電圧電流発生器 2000 1    
5ELEadc-r64-Q7495 エーディーシー R6452A ディジタルマルチメータ Inq. 1    
5ELEadc-tr6-Q7497 エーディーシー TR6141 プログラマブル直流電圧/直流源 Inq. 1    
5ELEadc-tr6-Q7498 エーディーシー TR6143 直流電圧電流発生器 Inq. 1    
5ELEadc-tr6-Q7539 エーディーシー TR6143 直流電圧電流発生器 1994 2    
5ELEadc-tr6-Q7540 エーディーシー TR6150 直流標準電圧電流発生器 1983 1    
5ELEadc-tr6-Q7541 エーディーシー TR6150 直流標準電圧電流発生器 1982 1    
5ELEadv-r61-Q7350 アドバンテスト R6144 32V/160 A 電圧電流発生器 1997 2    
5ELEadv-tr6-E10769 アドバンテスト TR6845 デジタルマルチメーター  inq 1    
5ELEadv-tr6-E10770 アドバンテスト TR6552 デジタルマルチメーター  inq 1    
5ELEadv-tr6-E9416 アドバンテスト TR6848 デジタルマルチメーター inq. 1    
5ELEadv-tr6-Q7460 アドバンテスト TR6824 ディジタルマルチメータ Inq. 1    
5ELEanr-m-2-Q7437 アンリツ M-215C アッテネータ Inq. 1    
5ELEcat-usb-Q7461 CATC USB TRAFFIC GENERETOR USB TRAFFIC GENERETOR Inq. 1    
5ELEflu-83 -Q7415 フルーク 83 SERIESV ディジタルマルチメータ Inq. 1    
5ELEfuj-ape-Q7366 藤田製作所 APE904U ダイオードDC通電装置 2008 1    
5ELEgig-803-Q7478 GIGA TRONICS 80301A パワーセンサ Inq. 1    
5ELEgig-854-Q7515 GIGA TRONICS 8542B デュアルチャンネルパワーメータ 2003 1    
5ELEinq-inq-Q7378 Inq. Inq. ソケット抵抗測定器 2006 1    
5ELEiwa-ds--E9414 岩崎通信機 DS-8623 デジタルストレージスコープ 1990 1    
5ELEiwa-os--E9415 岩崎通信機 OS-8608 デジタルストレージスコープ 1995 1    
5ELEiwa-sc--E9417 岩崎通信機 SC-7202 ユニバーサルカウンター inq. 1    
5ELEiwa-voa-Q7463 岩通計測 VOAC7513 ディジタルマルチメータ Inq. 1    
5ELEiwa-voa-Q7464 岩通計測 VOAC83 ディジタルマルチメータ Inq. 2    
5ELEkei-kei-E10778 ケースレー KEITHLEY236 SMU  inq 1    
5ELEkey-894-Q7518 キーサイト・テクノロジー 89441A ベクトルシグナルアナライザ 1997 1    
5ELEkey-e23-Q7429 キーサイト・テクノロジー E2373A ディジタルマルチメータ Inq. 1    
5ELEkey-e23-Q7430 キーサイト・テクノロジー E2378A ディジタルマルチメータ Inq. 1    
5ELEkey-n53-Q7528 キーサイト・テクノロジー N5302A/N5343A DigRFエクセサイザ 2010 4    
5ELEkik-dme-Q7427 菊水電子工業 DME1500 ディジタルマルチメータ Inq. 1    
5ELEkok-sct-E9413 コクヨ電器 SCT-5T カーブトレーサー 1988 1    
5ELElec-lc5-Q9577 レクロイ LC574AL デジタルオシロスコープ  inq. 1    
5ELEluc-ib4-R0174 LUCAS LABS IB420 マススペクトラムアナライザ 1996 1    
5ELEluc-ib4-R0175 LUCAS LABS IB420 マススペクトラムアナライザ 1996 1    
5ELEmic-msa-Q7527 マイクロニクス MSA338 スペクトラムアナライザ 2005 1    
5ELEnec-nk--Q7368 NECパーチェシングサービス NK-1 静電気計測管理セット 2005 1    
5ELEnec-qb--Q7453 NEC QB-78F1026-ZZZ インサーキットエミュレーター Inq. 1    
5ELEnf -502-E10779 NFエレクトロニクスインスツルメンツ 5020 周波数特性分析器  inq 1    
5ELEnf -m-1-E9419 NF ELECTRONIC INSTRUMENTS M-177 オートレンジ式AC電圧計 inq. 1    
5ELEnid-r-5-Q8255 日本電産リード R-5520ix 高速道通絶縁検査機 Inq. 1    
5ELEnoi-ess-Q7355 ノイズ研究所 ESS-603003 18KG 静電破壊試験装置 1984 1    
5ELEsan-cd1-Q7422 三和電気計器 CD110 ディジタルマルチメータ Inq. 1    
5ELEsan-cd7-Q7423 三和電気計器 CD770 ディジタルマルチメータ Inq. 1    
5ELEsan-pc5-Q7444 三和電気計器 PC510 ディジタルマルチメータ Inq. 3    
5ELEson-afg-E10776 ソニー テクトロニクス AFG2020 ファンクションジェネレーター  inq 1    
5ELEtex-dl--Q7425 テクシオ DL-2050 ディジタルマルチメータ Inq. 5    
5ELEtex-dl--Q7426 テクシオ DL-2051 ディジタルマルチメータ Inq. 1    
5ELEtok-510-S5017 東京カソード 5100 プローブカード検査装置 Inq. 1    
5ELEtok-515-S5015 東京カソード 5150 プローブカード検査装置 Inq. 1    
5ELEtok-520-S5016 東京カソード 5200 プローブカード検査装置 Inq. 1    
5ELEtok-rik-E10772 東京Riko Riko slidetrance RSA-1 変圧器 inq 2    
5ELEwav-sia-Q7391 WaveCrest SIA-3000 Signal Integrity Analyzer Inq. 1    
5ELEyok-706-Q7364 横河電機 706012-1-D FG120ファンクションジェネレータ 2005 2    
5ELEyok-754-Q7477 横河電機 7544 01 ディジタルマルチメータ Inq. 2    
5ELEyok-ax1-Q7384 横河 AX100 メモリースティックプロトコルアナライザ Inq. 1    
5ELEyok-ax2-Q7387 横河 AX220 SDカードプロトコルアナライザ Inq. 1    
5INSdkl-ru--R0168 DKL RU-700 ディフェクトレビューユニット 1995 1    
5INSgen-cl--Q10046 Gentec-EO/thorlabs CL-25/MVL50M23 ビームプロファイラ一式 2017 1    
5INSham-c93-E4962 浜松ホトニクス C9334-01etc FFP測定ユニット Inq. 1    
5INShit-u-2-Q6855 日立 U-2000 分光光度計 Inq. 1    
5INShit-u-2-Q6856 日立 U-2010 分光光度計 Inq. 1    
5INShit-wi--Q0156 日立 WI-890 ウエハ外観検査機/6in. 1997 1    
5INShit-z-5-Q6852 日立 Z-5310 フレーム原子吸光光度計 Inq. 1    
5INShit-z-5-Q6853 日立 Z-5010 ゼーマン原子吸光光度計 Inq. 1    
5INShor-ema-R0169 堀場 EMAX-5770 X線分析装置 1995 1    
5INSjai-jhs-Q8597 日本分析工業 JHS-100 パージ&トラップサンプラ Inq. 1    
5INSnik-gp--Q10041 ニッカ電測 GP-1-T ゴニオフォトメータ 2016 1    
5INSsan-mi--R0165 三和無線測器 MI-476 酸化膜評価装置 Inq. 1    
5INSsci-300-Q9961 Scitec instruments 300CD オプティカルチョッパー inq 1    
5INSsci-420-Q9960 Scitec instruments 420 ロックインアンプ inq 1    
5INSsei-sai-L9608 セイコー SAI 9600S ウェーファー検査 1991 1    
5INStos-tos-S4405 東芝ITコントロールシステムズ TOSMICRON 6130FP X線装置 Inq. 1    
5INSyok-aq2-Q7519 横河電機 AQ2105 光マルチメータ 1988 1    
5MEAavi-tvs-Q7542 日本アビオニクス TVS-8500 赤外線サーモグラフィ 2005 1    
5MEAdns-vl--Q0159 大日本スクリーン VL-M6000 膜厚測定器/6in. 1993 1    
5MEAdns-vl--Q0160 大日本スクリーン VL-M6000 膜厚測定器/6in. 1995 1    
5MEAflu-51-Q7400 フルーク 51 ディジタル温度計 Inq. 1    
5MEAhoz-dt--Q7428 ホーザン DT-510 ディジタル温度計 Inq. 1    
5MEAinq-le1-Q7362 Inq. LE12520RNN 温度記録ユニット 2000 1    
5MEAinq-tvs-Q7363 Inq. TVS-110 ハンディサーモ 2000 1    
5MEAkey-ls--Q10792 キーエンス LS-9500 超高速・高精度寸法測定器 inq 1    
5MEAsha-mod-Q6503 SHAW MODEL SHA 露点計 1991 1    
5MEAsks-sk--Q7457 佐藤計量器製作所 SK-1100/SK-K010 ディジタル温度計 Inq. 1    
5MEAsks-sk--Q7458 佐藤計量器製作所 SK-1250MCV ディジタル温度計 Inq. 1    
5MICact-act-S4238 ACTIVE ACT-0LS 顕微鏡 1998 1    
5MICapo-kma-Q11492 アポロプレシジョン KMA6-R レーザー顕微鏡 2013 1    
5MICas -da1-Q9633 アズワン DA1-180M 顕微鏡 2020 1    
5MICkey-vh--E10765 キーエンス VH-5000 高精度クイックマイクロスコープ  inq 1    
5MICkey-vk--E9967 キーエンス VK-8510 レーザー顕微鏡 2005 1    
5MICmei-emz-Q12079 メイジテクノ EMZ 実体顕微鏡 2001 1    
5MICnik-ecl-E10121 ニコン ECLIPSE l200 金属顕微鏡 inq 1    
5MICnik-inq-Q7530 ニコン Inq. 顕微鏡 1994 1    
5MICnik-mic-Q7523 ニコン MICROPHOT-FXL 顕微鏡 1993 1    
5MICnik-opt-E10122 ニコン OPTIPHOT 300 顕微鏡 inq 1    
5MICnik-opt-R0170 ニコン OPTIPHOT-88 顕微鏡/8in. Inq. 1    
5MICnik-smz-Q7538 ニコン SMZ-U 顕微鏡 1993 1    
5MIColy-bh2-E9406 オリンパス BH2-RFL-T2 紫外線顕微鏡 1986 1    
5MIColy-bh2-E9407 オリンパス BH2-MJL 金属顕微鏡電動レボルバー機能付き 1985 1    
5MIColy-bh2-Q11490 オリンパス BH2-MJLT 顕微鏡 1986 1    
5MIColy-bhm-Q8598 オリンパス BHM-MD 金属顕微鏡 Inq. 1    
5MIColy-stm-E9409 オリンパス STM 一眼測定顕微鏡 1990 1    
5MIColy-stm-Q12073 オリンパス STM-UM-BDZ 測定顕微鏡 2001 1    
5MIColy-sz4-Q12075 オリンパス SZ4045 実体顕微鏡 2001 1    
5MIColy-sz4-Q12077 オリンパス SZ4045 実体顕微鏡 2001 1    
5MIColy-sz4-Q12078 オリンパス SZ4045 実体顕微鏡 2001 1    
5MIColy-sz6-Q12076 オリンパス SZ6045 実体顕微鏡 2001 1    
5OTHas -hs--N0249 アズワン HS-50D マグネチックスターラー Inq. 1    
5OTHb&w-bwr-Q9958 B&W TEK inc BWR-20E/55869 1064nmレーザ inq 1    
5OTHbro-lvd-Q8666 ブルックフィールド LVDV-2+PRO-CP 粘度計 2005 1    
5OTHbsc-sh4-Q7536 BSC Filters SH4457 ハイパスフィルタ 2007 1    
5OTHbsc-sh4-Q7537 BSC Filters SH4458 ハイパスフィルタ 2007 1    
5OTHbsc-xn4-Q7543 BSC Filters XN4455 ノッチフィルタ 2007 1    
5OTHbsc-xn4-Q7544 BSC Filters XN4456 ノッチフィルタ 2007 1    
5OTHcan-850-Q7353 キヤノン 850670H-0312 L負荷用LABVIEWUPGRADE 2000 1    
5OTHcat-adv-Q7420 CATC Advisor USB2.0バス&プロトコルアナライザ Inq. 1    
5OTHcbc-vm--Q8667 CBC VM-10A-M 粘度計 Inq. 1    
5OTHcho-uj--Q6509 超音波工業 UJ-246-1C ワイヤープルテスター 1991 1    
5OTHcom-32m-Q7386 コンピューテックス 32M41 インサーキットデバッガ Inq. 1    
5OTHesp-tsa-E7102 エスペック TSA-71H-W 冷熱衝撃試験装置 2001 1    
5OTHfuj-ape-Q9489 藤田製作所 APE-PWIGBT 高温高湿負荷バイアス試験装置 2010 1    
5OTHfuj-fth-Q7342 藤田製作所 FTH-100 THERMALFET試験装置 2000 1    
5OTHhio-312-Q7401 日置電機 3127 クランプオンテスタ Inq. 1    
5OTHhio-380-Q7501 日置電機 3805 ディジタルハイテスタ 2005 1    
5OTHhio-lr8-Q9687 日置電機株式会社 LR8431 データメモリーハイロガー  inq. 1    
5OTHhir-pc--Q6508 平山製作所 PC-204RV プレッシャークッカー 1990 1    
5OTHhit-ec--Q9488 日立アプライアンス EC-45MHPS 恒温恒湿試験装置 2010 1    
5OTHhit-es--Q6481 日立アプライアンス ES-76LH 冷熱衝撃試験装置 2007 1    
5OTHhit-mi--E9405 日立パワーソリューションズ mi-scopeMI-25 超音波探査映像装置(USI) 1997 1    
5OTHinq-lta-Q0155 Inq. LTA-330A ライフタイム測定器/5in. Inq. 1    
5OTHite-ap--Q9490 アイテック AP-20-3S-OP/AP-20-3S-BT 高温OP試験用装置 2004 2    
5OTHkey-lc--E10774 キーエンス LC-2101 レーザー変位計  inq 1    
5OTHkey-lc--E10775 キーエンス LC-2210 レーザー変位計  inq 1    
5OTHkoh-k2--Q10897 神津精機(株) K2-200 平面度測定器 inq. 1    
5OTHmau-181-Q7505 MAURY MICROWAVE 1819B スタブ チューナー 2005 1    
5OTHmau-804-Q7395 MAURY MICROWAVE 8045C スライドスクリューチューナー Inq. 2    
5OTHmic-inq-E7100 みちのくマシナリー Inq. 強度テスター 2008 1    
5OTHmic-mmd-Q7524 マイクロニクス MMD850 マイクロ波検波器 2005 1    
5OTHmic-mt3-Q10038 マイクロトラック MT3100II/SDC 粒度系分布測定装置 2016 1    
5OTHmin-zhl-Q7399 MINI-CIRCUITS ZHL-4240 高周波アンプ Inq. 1    
5OTHmis-msn-Q10787 ミスミ MSND2.3-30 オンドセンサ inq 1    
5OTHmis-msn-Q10790 ミスミ MSND2.3-30 オンドセンサ inq 1    
5OTHmit-cd--E10777 ミツトヨ CD-45C ノギス  inq 1    
5OTHmit-id--R0172 ミツトヨ ID-C112C デジマチックインジケータ Inq. 1    
5OTHmt/-inq-Q7356 MT/日立ハイテクマテリアルズ Inq. F2用EEPROM評価ボード 2010 1    
5OTHnar-375-Q7500 NARDA 3752 PHASE SHIFTER 2005 1    
5OTHnf-165-Q7499 エヌエフ回路設計ブロック 1650 任意パターンシンセサイザ 1994 1    
5OTHnf-ck1-Q7382 エヌエフ回路設計ブロック CK1620 クロックシンセサイザ Inq. 2    
5OTHnf-ck1-Q7424 エヌエフ回路設計ブロック CK1615 クロックシンセサイザ Inq. 2    
5OTHnf-es2-Q7393 エヌエフ回路設計ブロック ES2000B 電源環境シミュレータ Inq. 1    
5OTHnf-es2-Q7394 エヌエフ回路設計ブロック ES2000S 電源環境シミュレータ Inq. 1    
5OTHoha-ex2-Q8488 OHAUS EX2202G 電子天秤 Inq. 2    
5OTHors-aos-Q10044 ORSA AOS303-445-30 シングルモードファイバ青色光源 2016 1    
5OTHors-hpb-Q10045 ORSA HPB455-3 マルチモードファイバ青色光源 2016 1    
5OTHots-qe2-Q10039 大塚電子 QE2100 量子効果測定システム 2016 1    
5OTHoyo-ait-E10059 応用電機 AITOS 光源装置 inq 3    
5OTHoyo-aw1-E10078 応用電機 AW1050 光源  inq 1    
5OTHpro-inq-Q7365 プロダクションテクノロジーセンター九州 Inq. 基板強度評価試験機 2008 1    
5OTHrhe-ptr-Q12072 レスカ PTR-1000 ボンディングテスタ 2001 1    
5OTHroh-inq-Q7398 ローデ&シュワルツ Inq. 無線機テスタ Inq. 1    
5OTHsan-inq-R0340 三和無線測器 Inq. TDDB評価装置 1996 1    
5OTHsem-wt--R0338 SEMILAB WT-85 ライフタイム測定装置 Inq. 1    
5OTHshi-ehf-E7281 島津製作所 EHF-FB10KN-10LA サーボパルサ 2000 1    
5OTHshi-uv2-E10780 SHINDAIGO UV225 簡易ガス充填卓上型真空包装機 inq 1    
5OTHsig-inq-Q10043 シグマ光器 inq 自動回転ステージとコントローラ 2021 1    
5OTHsig-sgs-Q9959 シグマ光機 SGSP20-20+SHOT202 自動ステージ+ステージコントローラ inq 3    
5OTHson-inq-Q7531 SONY Inq. 小型DDデジタルフォトカメラ 1994 1    
5OTHtek-er8-R3955 Teknologue ER8940A テスター Inq. 1    
5OTHtek-stc-Q7352 Tektronix STC399X-99158-7 L負荷用GPIBコントローラ 2000 1    
5OTHtsk-em--Q5883 東京精密 EM-21 IGBTMOSFET 特性評価装置 2000 1    
5OTHyok-417-Q7407 横河電機 4177-100-11 μR100 打点レコーダ Inq. 1    
5OTHyok-ax2-Q7421 横河ディジタルコンピュータ AX220 SDカードプロトコルアナライザ Inq. 1    
5POWkik-pmc-Q7345 菊水電子 PMC35-2A DC電源 1996 4    
5POWmiy-pab-Q7340 ミヤケ電子 PAB70-1A 直流電源装置 2014 4    
5POWmiy-pmc-Q7337 ミヤケ電子 PMC110-0.6A/TPO650-1/PAD1K-02L 直流電源装置 2014 11    
5POWmiy-pmc-Q7339 ミヤケ電子 PMC110-0.6A 直流電源装置 2014 2    
5POWmiy-tbs-Q7338 ミヤケ電子 TBS 直流電源装置 2014 3    
5POWnec-pr2-Q7369 NECパーチェシングサービス PR20-250 直流電源 2006 2    
5SEMhit-s-2-E9402 日立ハイテク S-2300 走査線電子顕微鏡 1988 1    
5SEMhit-s-2-Q9957 日立 S-2600N 走査電子顕微鏡(SEM) 2003 1    
6ALIcan-pla-Q6470 Canon PLA-501F アライナー 1990 1    
6ASHhit-ua3-L9610 日立 UA3150 アッシャー/ストリッパー inq. 1    
6ASHpla-des-Q11482 Plasma systems DES-206 アッシャー 1984 1    
6DRYshi-cde-Q11483 芝浦 CDE-7-4 CDE装置 1994 1    
6OTHush-uma-Q10890 USHIO UMA-1002-HC82TT UVキュア 1992 1    
6WETmac-w8m-Q10896 マコー株式会社 W8MN-P052(マルチサフェースJr.) X-Y二軸式小型ウエットブラスト加工セル装置 2015 1    
7CLEdis-inq-Q7468 ディスコ Inq. ステイクリンインジェクタ 2009 1    
7CLEkkc-dra-N0280 KKC Draft ドラフト Inq. 3    
7CLEnik-s12-Q7828 ニクニ S1200-D04 ステイクリンインジェクタ 2009 2    
7CLEnms-ndb-Q7467 野村マイクロサイエンス NDB-3 Co2バブラー装置 2001 1    
7CLEnom-on8-Q7827 野村マイクロ・サイエンス ON8004-002T CO2バブラー 2001 1    
7CLEyok-cm5-R0634 横河 CM500 クリーンルームガスモニター 2000 1    
7CLNas -h1--Q6501 AS ONE H1-1000 クリーンブース 2008 1    
7CLNdan-inq-Q12042 ダン・タクマ Inq. クリーンベンチ 2018 1    
7CLNmbr-lab-Q8663 MBRAUN LABmasterSP グローブボックス 2008 1    
7CLNnih-cbu-Q10913 日本スピンドル製造 CBU 2-2115 クリーンブース inq. 1    
7CLNnih-cbu-Q8662 日本スピンドル CBU3-3025 クリーンブース Inq. 1    
7CLNnip-ast-Q9690 日本無機梶@ AST-56-90 610×610×290t アストロンフィルタ(標準形中高性能フィルタ) inq. 1    
7CLNnit-inq-Q10315 ニットウ冷熱 inq エアシャワー inq 1    
7CLNosh-m-p-Q9635 忍足研究所 M-P-500-A ×3 フィルター inq. 1    
7CLNosh-slv-E7283 忍足研究所 SLV フィルター Inq. 20    
7CLNtop-tm1-E7282 東プレ TM15TPS ファンフィルター・ユニット Inq. 20    
7GASama-or--R0295 アプライドマテリアルズ OR-4ZA オゾネーター Inq. 1    
7GASerm-erc-E9410 エルマ光学ワークス ERC-3310 脱泡装置 1985 1    
7GAShit-npo-W9480 日立 NPO-114TX5 窒素発生装置 2007 1    
7GASnih-pmc-Q12071 日本酸素 PMC-2 膜式窒素発生装置 2001 1    
7GASsan-s-6-Q8490 三貴製作所 S-60 スクラバー 2016 1    
7GASyam-yaf-Q7298 ヤマト産業 YAF 液体窒素ユニット 2018 1    
7OTHche-e-2-S1242 ケミトロニクス E-280 UV照射機 Inq. 2    
7OTHckd-hyw-Q9631 CKD(株) HYW2012C-S308 チラー 2007 1    
7OTHdai-dpc-Q10047 ダイトロン DPC-100 ペルチエコントローラ 2010 2    
7OTHdel-inq-Q9962 DELL inq PC inq 1    
7OTHelg-pur-Q7299 ELGA PURELAB flex 超純水装置 2015 1    
7OTHesp-sth-R0716 エスペック STH-120 小型高温チャンバー 2000 1    
7OTHfam-vc--X2207 Fameccs VC-1200 冷却ユニット Inq. 1    
7OTHhak-bcs-Q9637 株ェ光電機 BCS1110 投げ込みヒーター  inq. 1    
7OTHhit-osp-Q11380 日立 OSP-15VARN エアーコンプレッサー 2011 1    
7OTHhok-sms-E10226 北越工業 SMS4-C コンプレッサー inq 1    
7OTHinq-inq-Q7860 Inq. Inq. 補修パーツ品 Inq. 1    
7OTHinq-inq-Q8484 Inq. Inq. 設備金属製筐体 2015 1    
7OTHinq-inq-Q8495 Inq. Inq. 作業台 Inq. 1    
7OTHinq-mhr-E12242 Inq. MHRS-2014 ミハルシステム 2017 1    
7OTHinq-n2 -N0306 Inq. N2 BOX 窒素ボックス Inq. 1    
7OTHint-ia--S2011 インターアクション IA-OPT026W イメージセンサ向検査用光源 Inq. 1    
7OTHkey-vt3-E10771 キーエンス VT3-V8 タッチパネル  inq 1    
7OTHmis-mch-Q10786 ミスミ MCHK5-30-V200-W40 カ-トリッジヒ-タ-コテイ inq 1    
7OTHmis-mch-Q10789 ミスミ MCHK5-30-V200-W40 カ-トリッジヒ-タ-コテイ inq 1    
7OTHmis-mtc-Q10788 ミスミ MTCRM オンチョウコントロ-ラ inq 1    
7OTHmis-mtc-Q10791 ミスミ MTCRM オンチョウコントロ-ラ inq 1    
7OTHnih-nf--N0141 日本フリーザー NF-140S 冷凍庫 Inq. 1    
7OTHopk-pl--E10227 OPK PL-H1000-15 リフター inq 1    
7OTHorg-pur-E10781 オルガノ PURIC-MX 卓上式超純水装置 inq 1    
7OTHori-inq-E7258 ORION Inq. 冷却装置 2014 3    
7OTHsan-mov-N0312 三洋 MOV-1025 恒温槽 Inq. 1    
7OTHsmc-mhz-Q10794 SMC MHZ2-10D2-M9NL エアチャック inq 1    
7OTHsmc-mhz-Q10795 SMC MHZ2-6D2-M9NL エアチャック inq 1    
7OTHsmc-mhz-Q10798 SMC MHZ2-10D2-M9NL エアチャック inq 1    
7OTHsmc-mhz-Q10799 SMC MHZ2-6D2-M9NL エアチャック inq 1    
7OTHsmc-mxj-Q10796 SMC MXJ12-50ZA-M9NL エアスライドテ-ブル inq 1    
7OTHsmc-mxj-Q10800 SMC MXJ12-50ZA-M9NL エアスライドテ-ブル inq 1    
7OTHsmc-mxq-Q10805 SMC MXQ12-30C-M9N エアスライドテブル inq 1    
7OTHsmc-mxs-Q10801 SMC MXS8-10A-M9NVL エアスライドテ-ブル inq 1    
7OTHsmc-mxs-Q10808 SMC MXS8-10A-MPNVL テ-ブルツキシリンダ inq 1    
7OTHsun-sch-Q9636 サンアート SCH-900 ICコントロールヒーター inq. 1    
7OTHtai-gbr-N0099 大科電器 GBR-10 マントルヒーター 2008 1    
7OTHtel-rd--S2978 東京エレクトロン RD-9900 エアドライヤー 2007 1    
7OTHtel-tpg-Q7459 TELEVIEW TPG430B MPEG Stream Station Inq. 1    
7OTHthk-skr-Q10806 THK SKR3306A-0045-R1-1-Q08MD000L LMガイド inq 1    
7OTHthk-skr-Q10807 THK SKR3306A-0045-R1-1-Q08MD000L LMガイド inq 1    
7OTHxyz-da -Q9634 XYZ da Vinci 1.0 Pro 3-in-1 3Dプリンター 2017 1    
7OVEadv-fc--N0098 アドバンテック FC-612 オーブン Inq. 1    
7OVEas -ltc-E12700 アズワン LTC-1200A 冷却水循環装置 2012 1    
7OVEesp-pvh-E10161 エスペック PVHC-230 オーブン 1997 1    
7OVEesp-pvh-Q11187 エスペック PVHC-230 クリーンベーク炉 1992 1    
7OVEisu-ssf-N0140 いすゞ製作所 SSF-113S+AT-S13 定温恒温器/熱風循環式+コントローラ Inq. 1    
7OVEiuc-ahs-Q12074 IUCHI AHSU-1500 ドラフトチャンバ 2001 1    
7OVEtab-pv--Q6474 TABAI ESPEC PV-220 オーブン 1990 1    
7OVEtoy-mo--N0097 富山産業 MO-9216 オーブン 1995 1    
7OVEulv-dah-Q12080 アルバック機工 DAH-60 真空脱泡装置 2001 1    
7OVEyam-dkn-Q12060 ヤマト科学 DKN402 送風定温恒温器 2006 1    
7OVEyam-dkn-Q12061 ヤマト科学 DKN402 送風定温恒温器 2007 1    
7OVEyam-ih4-R0715 ヤマト科学 IH400 恒温恒湿器 Inq. 1    
7POWadv-smu-E10768 アドバンテスト SMU TR6143 電源 inq 1    
7POWflu-412-Q7507 フルーク 412B ハイボルテージパワーサプライ 1970 1    
7POWhei-ks7-Q8533 平和電源 KS700-2 電源 Inq. 1    
7POWhei-ks7-Q8534 平和電源 KS700-2 電源 Inq. 1    
7POWinq-dp--Q10049 inq DP-3003、DP-3002S DC安定化電源 inq 1    
7POWkey-662-Q7514 キーサイト・テクノロジー 6622A マルチ出力システム電源 1988 3    
7POWkey-e36-Q7432 キーサイト・テクノロジー E3620A 直流安定化電源 Inq. 1    
7POWkik-pad-Q7532 菊水電子工業 PAD35-20L 直流安定化電源 1981 1    
7POWkik-pmc-Q7445 菊水電子工業 PMC18-3 直流安定化電源 Inq. 1    
7POWkik-pmc-Q7446 菊水電子工業 PMC18-5 直流安定化電源 Inq. 1    
7POWkik-pmc-Q7487 菊水電子工業 PMC18-3A 直流安定化電源 Inq. 1    
7POWkik-pmc-Q7488 菊水電子工業 PMC18-5A 直流安定化電源 Inq. 1    
7POWkik-pmc-Q7489 菊水電子工業 PMC35-1 直流安定化電源 Inq. 1    
7POWkik-pmc-Q7490 菊水電子工業 PMC35-3A 直流安定化電源 Inq. 1    
7POWkik-pmc-Q7491 菊水電子工業 PMC500-0.1A 直流安定化電源 Inq. 1    
7POWkik-pmm-Q7447 菊水電子工業 PMM24-1QU 直流安定化電源 Inq. 2    
7POWkik-pow-E10767 菊水電子 PowerSupply PAB8-5  電源 inq 1    
7POWkyo-sle-E9418 協和 SLE-10H パワーユニット inq. 1    
7POWmet-544-Q7475 メトロニクス 544B 直流安定化電源 Inq. 1    
7POWmet-dms-Q7480 メトロニクス DMS18-3 直流安定化電源 Inq. 7    
7POWmet-dms-Q7520 メトロニクス DMS18-8 直流安定化電源 1987 1    
7POWshi-pa1-Q8537 シバソク PA14A1 低周波ノイズ測定システム Inq. 1    
7POWtak-gp0-Q7483 高砂製作所 GP0110-10R 直流安定化電源 Inq. 1    
7POWtak-gp0-Q7484 高砂製作所 GP060-20 直流安定化電源 Inq. 1    
7POWtak-kx--Q7436 高砂製作所 KX-100L 直流安定化電源 Inq. 1    
7POWtak-nl0-Q7529 高砂製作所 NL035-30 直流安定化電源 1987 1    
7POWtak-tei-Q8532 高砂製作所 TEIDENRYUDENGEN 電源 Inq. 1    
7POWtak-tmk-Q7496 高砂製作所 TMK1.0-50 直流安定化電源 Inq. 1    
7POWtex-pa1-Q7438 テクシオ PA10-5B 直流安定化電源 Inq. 1    
7POWtex-pa1-Q7439 テクシオ PA18-3A 直流安定化電源 Inq. 14    
7POWtex-pa1-Q7440 テクシオ PA18-6A 直流安定化電源 Inq. 15    
7POWtex-pa7-Q7486 テクシオ PA70-1 直流安定化電源 Inq. 1    
7POWtex-par-Q7441 テクシオ PAR18-5 直流安定化電源 Inq. 1    
7POWtex-par-Q7442 テクシオ PAR18-6A 直流安定化電源 Inq. 1    
7POWtex-par-Q7443 テクシオ PAR20-4H 直流安定化電源 Inq. 3    
7POWtex-pw1-Q7449 テクシオ PW18-1T 直流安定化電源 Inq. 1    
7POWtex-pw1-Q7492 テクシオ PW18-1.8AQ 直流安定化電源 Inq. 1    
7POWtex-pw3-Q7493 テクシオ PW36-1 直流安定化電源 Inq. 1    
7POWtex-pwr-Q7450 テクシオ PWR18-1T 多出力直流安定化電源 Inq. 4    
7POWtex-pwr-Q7451 テクシオ PWR18-2P 直流安定化電源 Inq. 2    
7POWtex-pwr-Q7452 テクシオ PWR18-2TP 直流安定化電源 Inq. 2    
7POWtex-pwr-Q7494 テクシオ PWR18-2TP 直流安定化電源 Inq. 1    
7POWyok-768-Q7402 横河メータ&インスツルメンツ 768121 直流安定化電源 Inq. 1    
7PUMane-v06-Q8157 アネルバ V060S ドライポンプ Inq. 1    
7PUMard-d-6-Q8106 アルダック D-650D ロータリーポンプ Inq. 2    
7PUMard-d-9-Q8109 アルダック D-950D ロータリーポンプ Inq. 2    
7PUMbro-tor-Q8149 ブルックス・ジャパン TORR-100 クライオポンプ Inq. 1    
7PUMcan-100-Q8085 キヤノンアネルバ 1000C ターボ分子ポンプ/TMP Inq. 1    
7PUMcan-201-Q8088 キヤノンアネルバ 2012A ロータリーポンプ Inq. 1    
7PUMcan-203-Q8093 キヤノンアネルバ 2033C ロータリーポンプ Inq. 1    
7PUMcan-203-Q8094 キヤノンアネルバ 2033SD ロータリーポンプ Inq. 2    
7PUMcan-206-Q8095 キヤノンアネルバ 2063 ロータリーポンプ Inq. 1    
7PUMcan-a10-Q8098 キヤノンアネルバ A10S ドライポンプ Inq. 1    
7PUMcan-cap-Q8101 キヤノンアネルバ CAP-121 クライオポンプ Inq. 2    
7PUMcan-cap-Q8102 キヤノンアネルバ CAP-80MK2 クライオポンプ Inq. 1    
7PUMcan-da--Q8113 キヤノンアネルバ DA-60D ダイヤフラムポンプ Inq. 2    
7PUMcan-krc-Q8126 キヤノンアネルバ KRC-901 ロータリーポンプ Inq. 2    
7PUMcan-mbh-Q8134 キヤノンアネルバ MBH-600F メカニカルブースターポンプ Inq. 1    
7PUMcan-tc2-Q8142 キヤノンアネルバ TC200VW COMPOUND MOLECULAR Inq. 1    
7PUMcan-tg--Q8143 キヤノンアネルバ TG-1300VM ターボ分子ポンプ/TMP Inq. 1    
7PUMcan-ts5-Q8151 キヤノンアネルバ TS50VW HELICAL GROOVED Inq. 1    
7PUMdia-dpf-Q8116 大亜真空 DPF-6Z 拡散ポンプ Inq. 2    
7PUMdio-dqp-E9412 DIONEX DQP-1 パーソナルポンプ 1991 1    
7PUMedw-dp--Q8114 エドワーズ DP-40 ドライポンプ Inq. 1    
7PUMedw-dp--Q8115 エドワーズ DP-80 ドライポンプ Inq. 1    
7PUMedw-eh2-Q6179 エドワーズ EH250 ブースターポンプ/8in. Inq. 1    
7PUMedw-eh2-Q6180 エドワーズ EH250 ブースターポンプ/8in. Inq. 1    
7PUMedw-eh2-Q6182 日本エドワーズ EH250 ブースターポンプ/8in. Inq. 1    
7PUMedw-eh--Q6173 エドワーズ EH-250F ブースターポンプ/8in. 1995 1    
7PUMedw-eh--Q6174 エドワ−ズ EH-250 ブースターポンプ/8in. 1994 1    
7PUMedw-eh--Q6175 エドワ−ズ EH-250 ブースターポンプ/8in. 1994 1    
7PUMedw-iqd-Q8123 エドワーズ IQDP-40 ドライポンプ Inq. 1    
7PUMedw-qdp-Q6181 日本エドワーズ QDP40 ドライポンプ/8in. Inq. 1    
7PUMedw-qdp-Q6243 エドワーズ QDP80 ドライポンプ/8in. Inq. 1    
7PUMedw-qdp-Q6245 日本エドワーズ QDP80 ドライポンプ/8in. Inq. 1    
7PUMedw-qmb-Q6177 日本エドワーズ QMB1200 ドライポンプ/8in. Inq. 1    
7PUMedw-qmb-Q6178 日本エドワーズ QMB1200 ドライポンプ/8in. Inq. 1    
7PUMedw-qmb-Q6184 日本エドワーズ QMB1200F ドライポンプ/8in. Inq. 1    
7PUMhak-d-6-Q8107 伯東 D-650D ロータリーポンプ Inq. 1    
7PUMhak-d-9-Q8110 伯東 D-950DK ロータリーポンプ Inq. 1    
7PUMhit-160-Q8086 日立ハイテクフィールディング 160VP ロータリーポンプ Inq. 1    
7PUMhit-vt6-Q6183 日立工機 VT600 ドライポンプ/8in. Inq. 1    
7PUMhor-bf3-Q8100 堀場エステック BF3 5CCM Inq. Inq. 1    
7PUMiri-ff--Q8119 入江 FF-20BT1-07 ベローズポンプ Inq. 1    
7PUMjap-np--E9411 日本精密科学 NP-S-321 パーソナルポンプ 1985 1    
7PUMkas-206-Q8096 樫山工業 2063 ロータリーポンプ Inq. 1    
7PUMkas-kmb-Q8124 樫山工業 KMB-101P メカニカルブースターポンプ Inq. 1    
7PUMkas-krc-Q8125 樫山工業 KRC-350S ロータリーポンプ Inq. 4    
7PUMkas-krs-Q8127 樫山工業 KRS-1301 ロータリーポンプ Inq. 1    
7PUMkas-krs-Q8129 樫山工業 KRS-901 ロータリーポンプ Inq. 5    
7PUMkas-krs-Q8130 樫山工業 KRS-901(カダイツキ) ロータリーポンプ Inq. 1    
7PUMkas-lem-Q8131 樫山工業 LEM40MA 水封式ポンプ Inq. 1    
7PUMkas-pmb-Q8137 樫山工業 PMB006C メカニカルブースターポンプ Inq. 1    
7PUMkas-sd--Q8140 樫山工業 SD-60V2 ドライポンプ Inq. 1    
7PUMnag-v20-Q8159 長瀬産業 V204S クライオポンプ Inq. 2    
7PUMnec-201-Q8087 NECマネジメントパートナー 2012A ロータリーポンプ Inq. 3    
7PUMnec-201-Q8089 NECマネジメントパートナー 2015 ロータリーポンプ Inq. 3    
7PUMnec-201-Q8090 NECマネジメントパートナー 2015C1 ロータリーポンプ Inq. 1    
7PUMnec-203-Q8091 NECマネジメントパートナー 2033 ロータリーポンプ Inq. 5    
7PUMnec-203-Q8092 NECマネジメントパートナー 2033C ロータリーポンプ Inq. 1    
7PUMnec-206-Q8097 NECマネジメントパートナー 2063C ロータリーポンプ Inq. 2    
7PUMnec-d-6-Q8105 NECマネジメントパートナー D-650D ロータリーポンプ Inq. 2    
7PUMnec-d-9-Q8108 NECマネジメントパートナー D-950D ロータリーポンプ Inq. 1    
7PUMnec-da--Q8111 NECマネジメントパートナー DA-20D ダイヤフラムポンプ Inq. 1    
7PUMnec-da--Q8112 NECマネジメントパートナー DA-40S ダイヤフラムポンプ Inq. 1    
7PUMnec-eh2-Q8118 NECマネジメントパートナー EH250 メカニカルブースターポンプ Inq. 1    
7PUMnec-gvd-Q8122 NECマネジメントパートナー GVD135A ロータリーポンプ Inq. 1    
7PUMnec-krs-Q8128 NECマネジメントパートナー KRS-1800 ロータリーポンプ Inq. 3    
7PUMnec-mb--Q8132 NECマネジメントパートナー MB-100 メカニカルブースターポンプ Inq. 2    
7PUMnec-pmb-Q8136 NECマネジメントパートナー PMB-006A メカニカルブースターポンプ Inq. 3    
7PUMnec-tg3-Q8144 NECマネジメントパートナー TG374MVA(ジコショウオン) ターボ分子ポンプ/TMP Inq. 1    
7PUMnte-v20-Q8158 ナガセテクノエンジニアリング V204S クライオポンプ Inq. 1    
7PUMscr-pj1-Q8135 Screen SPEサービス PJ100 高圧発生ポンプ Inq. 1    
7PUMshi-mb--Q8133 島津エミット MB-30 メカニカルブースターポンプ Inq. 1    
7PUMshi-tmp-Q8145 島津 TMP-1003LMC 8 ターボ分子ポンプ/TMP Inq. 1    
7PUMshi-tmp-Q8146 島津 TMP-1003LMC-3 ターボ分子ポンプ/TMP Inq. 2    
7PUMshi-tmp-Q8148 島津エミット TMP-280-L-F ターボ分子ポンプ/TMP Inq. 1    
7PUMsmi-r-6-Q8139 住友重機械イオンテクノロジー R-620 拡散ポンプ Inq. 1    
7PUMsuz-tor-Q8150 鈴木商館 TORR8 クライオポンプ Inq. 1    
7PUMtdy-e2m-Q8117 ティーディーワイ E2M80 ロータリーポンプ Inq. 2    
7PUMtdy-tur-Q8152 ティーディーワイ TURBO VAC 1000C ターボ分子ポンプ/TMP Inq. 1    
7PUMtoy-ar -Q8099 東横化学 AR 5CCM Inq. Inq. 1    
7PUMulv-dah-Q6590 ULVAC DAH-60H 真空ポンプ 1991 1    
7PUMulv-da--Q6591 ULVAC DA-60S 真空ポンプ 1990 1    
7PUMulv-g-1-Q8120 アルバック G-100D ロータリーポンプ Inq. 1    
7PUMulv-g-1-Q8121 アルバック G-100D-100V ロータリーポンプ Inq. 1    
7PUMulv-g-2-Q6592 ULVAC G-20D 真空ポンプ 1991 1    
7PUMulv-gld-E10420 アルバック GLD-137J ロータリーポンプ 2021 1    
7PUMulv-pmb-Q8138 アルバックマテリアル PMB-006C メカニカルブースターポンプ Inq. 1    
7PUMulv-utm-Q8154 アルバック UTM 1400FW/D1A ターボ分子ポンプ/TMP Inq. 1    
7PUMulv-utm-Q8155 アルバック UTM1400FWD1K ターボ分子ポンプ/TMP Inq. 1    
7TRAden-dkh-E10306 DENKEN DKH-SJ200 IC 移載機 inq 2    
7TRAtak-trf-S0884 タカトリ TRF-P8 ウエハ移載機 1996 1    
8COAmse-vrd-E10419 エムセテック VRD-8000 デベロッパー 2000 1    
8DRYulv-va2-R0861 アルバック VA2000 ドライエッチ/8in. Inq. 1    
9ANAshi-wl9-E10081 シバソク WL93αCE テスタ 2002 1    
9ANAter-a56-E10162 テラダイン A56AM テスター 2002 1    
9ANAter-a56-E10164 テラダイン A560 テスター 1999 2    
9ANAter-a56-E12469 テラダイン A560 テスター Inq. 1    
9ANAter-j92-E10082 テラダイン J921 テスタ 1996 1    
9ANAyok-cd -S1854 横河 CD COM.MPX TS1000テスタ用パーツ Inq. 1    
9ANAyok-ppa-S1855 横河 PPAD TS1000テスタ用パーツ Inq. 1    
9ANAyok-pps-S1853 横河 PPSA TS1000テスタ用パーツ Inq. 1    
9ANAyok-pps-S1857 横河 PPSA TS1000テスタ用パーツ Inq. 6    
9ANAyok-ppv-S1858 横河 PPVI MAIN PBA TS1000テスタ用パーツ Inq. 15    
9ANAyok-uvi-S1856 横河 UVI TS1000テスタ用パーツ Inq. 4    
9BURchu-bsd-E12243 中央理研 BSD-164 バーンイン装置 1990 1    
9BURchu-bsd-E12244 中央理研 BSD-164C/CW バーンイン装置 2006 1    
9BURfuj-fbs-E10304 藤田製作所 FBS1000 バーンイン 1999/2000 4    
9BURfuj-ha1-K6135 フジタ HA1200 バーンイン装置 2000 1    
9BURfuj-ha1-K6136 フジタ HA11002XB バーンイン装置 2002 1    
9BURfuj-ha--E12247 藤田製作所 HA-2200 バーンイン装置 1995-1999 4    
9BURinq-mah-E12253 Inq. MAH-B 挿抜機 1997 1    
9BURinq-mah-E12254 Inq. MAHC-03 挿抜機 1997 1    
9BURinq-mah-E12256 Inq. MAH-C 挿抜機 1997-2000 3    
9BURinq-mah-E12257 Inq. MAH-F 挿抜機 2000 1    
9BURjec-pro-E12246 JEC PROFIT 1100A バーンイン装置 1995-1996 3    
9BURmei-sys-E7057 MEISEI Electron SYSTEM-9 バーンイン装置 1990 1    
9BURnem-k12-E12255 NEM K120376 挿抜機 1991 1    
9BURstk-sys-E7058 エスティケイテクノロジー SYSTEM-9(VDD2) バーンイン装置 2003 1    
9BURtod-tr8-E10303 藤堂製作所 TR8000CH-CS IC 自動挿抜機 1999 1    
9BURyam-mb1-E12249 山田電音 MB10000B2 バーンイン装置 1990 2    
9BURyok-af8-E12245 横河電機 AF8630 バーンイン装置 1995-1997 3    
9DICazu-asm-Q6475 あすみ技研 ASM2501 UV照射機 2017 1    
9DICdis-dfd-E11963 ディスコ DFD641 ダイサー 2000 1    
9DICmed-mat-K6134 MEDEC/JCM MAT-100 BGAテープマウンタ 2007 1    
9DICnit-nel-E10478 日東精機 NEL-1508N ウエハマウンタ 1998 1    
9DICtec-uvc-E10403 テクノビジョン UVC-408 UV照射装置 2017 1    
9DICtok-a-w-E10300 東京精密 A-WD-5000A ダイサー 2002 1    
9DIEasm-ad8-E12696 ASM AD896M-IL08 ダイボンダ 2004 1    
9DIEfas-db--S5251 FASFORD DB-500 ダイボンダ Inq. 1    
9DIEhit-db--E7061 日立 DB-730/730AC/730SP ダイボンダ 2004 1    
9DIEnec-bco-Q12065 NECマシナリー BCOW キュア装置 2000 1    
9DIEnec-cap-J1359 NECマシナリー CAP300 ダイスピッカー 2003 1    
9DIEnec-cap-J1360 NECマシナリー CAP300 ダイスピッカー 2004 2    
9DIEnec-cps-Q12063 NECマシナリー CPS-100VX ダイボンダ 2000 1    
9DIEnec-cps-Q12064 NECマシナリー CPS-100VX ダイボンダ 2000 1    
9DIEsci-st--E9420 サイエンティフィク ST-POSM Z ピンセットボンダー 2014 1    
9FLImit-mff-E12239 三菱電機 MFFB-300A-01 FCB装置 1998 1    
9FLIyam-yhp-S2740 YAMAHA YHP-2 フリップチップボンダ 2012 2    
9GRIbue-eco-E7095 BUEHLER EcoMet3 グラインダ/ポリッシャ Inq. 1    
9GRImar-mc--E10773 MARUTO MC-110 グラインダー  inq 1    
9HANdjt-sah-E12251 DJTECH SAH-8400 ハンドラ 2011 1    
9HANeps-ns7-E10308 エプソン NS7080 ハンドラ inq 1    
9HANsyn-sx1-E12252 SYNAX SX1101H2 ハンドラ 2004 1    
9HANtes-hs2-E10305 テセック HS2000 ハンドラ inq 1    
9HANy.a-a-2-E12248 ワイエイシイ A-282D ハンドラ 2007-2010 4    
9HIGtes-781-N4407 Tesec 7818-HV High Voltage Unit Inq. 1    
9LCDadv-t73-E11972 アドバンテスト T7313 テスター 1996 1    
9LCDyok-ts7-E10079 横河 TS700 テスタ 1996 1    
9MEMadv-inq-Q7358 アドバンテスト・ATエンジニアリング Inq. ADVANTEST_T-5334用パフォーマンスボード 2008 4    
9MEMadv-inq-Q7360 アドバンテスト・ATエンジニアリング Inq. ADVANTEST_T-5334用パフォーマンスボード 2008 1    
9MEMadv-t33-Q9515 アドバンテスト T3335P メモリーテスター 1990 1    
9MEMadv-t53-6451 アドバンテスト T5371 テスター 2000 1    
9MEMadv-t53-E11155 アドバンテスト T5335P テスター 1998 2    
9MEMadv-t53-S4450 アドバンテスト T5335P テスター/メモリー Inq. 1    
9MEMat -inq-Q7357 ATエンジニアリング Inq. ADVANTEST_T-5334用パフォーマンスボード 2007 1    
9MEMat -inq-Q7359 ATエンジニアリング Inq. ADVANTEST_T-5334用パフォーマンスボード 2008 4    
9MEMat -inq-Q7361 ATエンジニアリング Inq. T5334 48FHK用パフォーマンスボード 2009 1    
9MEMfuj-bt1-Q7466 藤田製作所 BT1064 メモリテスタ 2011 1    
9MEMfuj-bt1-Q7826 FUJITA BT1064F メモリーテスター 2011 1    
9MEMinn-ram-E10766 イノベーションズ RAMcheck LX ROMライター inq 1    
9MIXyok-ts1-E12744 横河電機 TS1000 テスター 1990-1998 4    
9MIXyok-ts9-E10163 横河 TS900 テスター inq 1    
9PACapi-map-E7106 アピックヤマダ MAPS-370J パッケージング装置/シンギュレーション 2011 1    
9PACcan-cap-S0021 キヤノンマシナリー CAP500 パッケージピッカー 2005 1    
9PACcan-cap-S0022 キヤノンマシナリー CAP500 パッケージピッカー 2005 1    
9PACcan-cap-S0023 キヤノンマシナリー CAP500 パッケージピッカー 2007 1    
9PAChar-hmc-E12701 ハーモ HMC-F743A 自動金型温度調節器 2012 1    
9PACico-ci--E10307 ICOS CI-8250 スキャナー 2000 1    
9PACico-ci--E12240 ICOS CI-8250 外観検査機 Inq. 1    
9PACkla-ci--E11070 KLA/ICOS CI-8250 外観検査機 1998 1    
9PACkla-ci--E12258 KLA(ICOS) CI-8250CY リード検査機 2000 1    
9PACnec-fam-S4403 NECマシナリー FAMS-MTR 封入機 Inq. 1    
9PACnec-fam-S4404 NECマシナリー FAMS-CMT 封入機 Inq. 2    
9PAComr-sl4-Q11185 オムロンレーザーフロント SL473D2 レーザーマーカー 1996 1    
9PAComr-sl4-Q11186 オムロンレーザーフロント SL473D2 レーザーマーカー 1992 1    
9PACori-jpf-A9129 ORIGIN JPF-3A キャップ・シーラー 2003 1    
9PACpan-pc3-E9888 パナソニック PC32P-M プラズマクリーニング装置 Inq 1    
9PACsod-tr2-E12699 Sodick TR20VRE 射出成形機 2012 1    
9PACsto-ns--E12703 シュトルツ NS-15 除湿式ドライヤー 2012 1    
9PACsto-sx--E12702 シュトルツ SX-160 一軸低速粉砕機 2012 1    
9PACsun-lp--S4241 SUNX LP-V15U レーザーマーカー Inq. 1    
9PACsyn-r20-E12054 サイネックス R2000 モールド装置 2003 2    
9PACtak-lmh-E7080 タカキ LMH-3000 レーザーマーカー 2007 1    
9PACtak-tmt-E7085 タカキ TMT-2000 パッケージング装置 2010 1    
9PACtop-vi--Q9301 トプコン Vi-4202  チップ外観検査装置 2012 2    
9PACtop-vi--Q9307 トプコン Vi-4202  チップ外観検査装置 2013 1    
9PACyas-li7-E12055 安永 LI700-HS/T ICスキャナー/外観検査 2003 1    
9PACyas-li--E12241 安永 LI-700HS/T リード検査機 2000 1    
9PACyas-li--E12259 安永 LI-700HS/T 外観検査機 1998 1    
9PACyas-li--E7119 安永 LI-700B IC自動外観検査装置 2006 1    
9PROesj-tps-R0166 イーエスジェー・プローブテクノロジー TPS-8000 TDDB測定専用プローブステーション/8in. Inq. 1    
9PROoya-oym-Q6510 雄山商事 OYM-401 プローバ 2000 1    
9PROtcl-mod-E9126 東京カソード研究所 MODEL-150 プローバ Inq. 1    
9PROtel-20s-E12470 東京エレクトロン 20SR プローバ 1985-1990 7    
9PROtel-20s-Q9542 TEL 20S フルオートプローバ inq. 4    
9PROtok-20s-E10119 東京エレクトロン 20SR プローバ 1993 1    
9PROtok-20s-E10123 東京エレクトロン 20SR プローバ 1991 1    
9PROtok-20s-E10124 東京エレクトロン 20SR プローバ 1997 1    
9PROtok-20s-E10125 東京エレクトロン 20SR プローバ 1988 5    
9PROtok-20s-E10126 東京エレクトロン 20SR プローバ 1989 5    
9PROtok-20s-E10127 東京エレクトロン 20SR プローバ 1990 5    
9PROtok-20s-E10128 東京エレクトロン 20SR プローバ 1994 2    
9PROtok-80w-E10120 東京エレクトロン 80W プローバ 1995 4    
9PROtsk-a-p-E9122 東京精密 A-PM-60C プローバ Inq. 2    
9PROtsk-a-p-E9123 東京精密 A-PM-50A プローバ 2002-2004 5    
9PROtsk-a-p-N0105 東京精密 A-PM-50A プローバ/6in. Inq. 1    
9PROtsk-em--N0104 東京精密 EM-20-100 プローバ/6in. 1987 1    
9PROwen-280-E9125 ウェントワース 2801 プローバ Inq. 1    
9PROwen-awp-E9124 ウェントワース AWP1050 ATC プローバ Inq. 1    
9PROwen-awp-E9328 ウェントワース AWP1080 MTC プローバー inq. 1    
9RFIshi-wl9-E12463 シバソク WL93-ACE テスター Inq. 1    
9RFIyok-ts--E11891 横河電機 TS-1000 ICテスター 1997-1998 2    
9SOCadv-t65-E12465 アドバンテスト T6575 テスター 2005-2007 2    
9SOCadv-t65-E12466 アドバンテスト T6573 テスター 2001-2002 2    
9SOCagi-v10-S1241 アジレント V1008 テスター Inq. 5    
9SOCter-ip7-E12041 テラダイン IP750EMP テスタ Inq. 2    
9SOCter-j75-E11276 テラダイン J750 テスター 2004-2010 5    
9SOCyok-ts6-E12464 横河 TS600 ULTRA-EYE テスター Inq. 1    
9TAPalp-act-E7086 アルファ―デザイン ACT-120V ピックアップ装置 2006 1    
9TAPalp-act-E7087 アルファ―デザイン ACT-120V ピックアップ装置 2007 1    
9TAPapi-a-m-E7088 アピックヤマダ A-MAPS/TAPE ピックアップ装置 2003 1    
9TAPkas-kec-E11892 鹿島エレクトロ産業 KEC-2401 テーピング装置 2016 1    
9TAPnit-nel-E10477 日東精機 NEL H304 保護テープはく離機 1992 1    
9TAPnit-nel-E10479 日東精機 NELD304 保護テープ貼付 1989 1    
9TAPtak-atm-E7090 TAKATORI ATM-9000 ピックアップ装置 1999 1    
9TAPtak-etm-E7108 タカキ ETM-3300 テーピング装置 2007 1    
9TAPtod-tti-E12260 藤堂製作所 TTI-7500 テーピング機 2014 1    
9TESglo-kt--N4409 Global Numeric Inc KT-95S テスター Inq. 4    
9TESter-a56-E12468 テラダイン A56A2 テスター Inq. 2    
9VLSadv-t33-E10473 アドバンテスト T3326A テスター 1995 2    
9VLSadv-t33-E12467 アドバンテスト T3347 テスター Inq. 2    
9VLSadv-t33-Q11184 アドバンテスト T3347A テスター inq. 1    
9VLSadv-t53-E10474 アドバンテスト T5371 テスター 2003 2    
9VLSadv-t65-E10475 アドバンテスト T6573 テスター 2001 1    
9VLSadv-t66-E9695 アドバンテスト T6672 テスタ inq. 1    
9VLSter-j97-E12261 テラダイン J973 ICテスタ 2000 1    
9VLStsk-em--N0106 東京精密 EM-20 テスター Inq. 1    
9VLSyok-al9-E10302 横河電機 AL9737 テスタ 1999 1    
9VLSyok-al9-E10881 横河電機 AL9737 テスタ 2000 1    
9VLSyok-ts6-E7600 横河 TS6000H テスタ Inq. 1    
9WIRkai-fb--E12697 カイジョー FB-118A ワイヤボンダ 1998 1    
9WIRkai-fb--E12698 カイジョー FB-118CH ワイヤボンダ Inq. 1    
9WIRkai-fb--Q12066 カイジョー FB-131 ワイヤーボンダ 2000 1    
9WIRkai-fb--Q12081 カイジョー FB-131 ワイヤーボンダ 1999 1    
9WIRkai-fb--S1374 カイジョー FB-131 ワイヤボンダ Inq. 1    
9WIRshi-swb-Q6476 Shinkawa SWB-FA-US ワイヤボンダ 1991 1    
9WIRshi-utc-E10301 新川 UTC-2000 ワイヤボンダ 2006 1    
9WIRshi-utc-E7134 新川 UTC-200BI ワイヤボンダ 1996 1    
9WIRshi-utc-E7136 新川 UTC-370/BI ワイヤボンダ 2000 3    

PAGE TOP