TTC Trust Technology Corporation

For Sale Equipment: Others

Contact us via mail form or call +81-3-3344-5540 to place an order.

TTC ID Manufacturer Model Description Vintage QTY Product
7OTH jb-557-Q9735 JBアドバンストテクノロジー 5573-V02-W OTH:Impact printer /dot printer 2017 1
7OTH jb-557-Q9736 JBアドバンストテクノロジー 5573-V02-W OTH:Impact printer /dot printer inq. 1
7OTHadt-art-K11994 Adtec ARTS-D3 Other:Chiller/2ch Inq. 1
* 7OTHagi-117-P1310 Agilent 11713A Other: Switch Driver Inq. 17
7OTHalp-alp-F12363 ALPS ALPS Sevor other: Software Inq. 1
7OTHalp-lic-F12364 ALPS License for all Machine other: Software Inq. 1
7OTHama-vna-Q9833 AMANO VNA-15 OTH:Dust collector 2005 1
7OTHane-954-K8688 ANELVA 954-7005 Other: vacuum viewing port Inq. 2
7OTHane-954-K8689 ANELVA 954-7004 Other: vacuum viewing port Inq. 2
7OTHane-954-K8690 ANELVA 954-7002 Other: vacuum viewing port Inq. 4
7OTHane-954-K8691 ANELVA 954-7942 Other: current terminal/MS connector Inq. 2
7OTHane-954-K8692 ANELVA 954-7952 Other: current terminal/MS connector Inq. 1
7OTHane-954-K8693 ANELVA 954-7962 Other: current terminal/MS connector Inq. 1
7OTHane-954-K8694 ANELVA 954-7972 Other: current terminal/MS connector Inq. 1
7OTHane-954-K8695 ANELVA 954-7982 Other: current terminal/MS connector Inq. 2
7OTHane-954-K8697 ANELVA 954-7700 Other: Vacuum Switch Inq. 2
7OTHasm-asm-F12337 ASM ASM Kit other: Conversion kit Inq. 1
* 7OTHcas-ke--C0658 CASIO KE-2000 Other: Marking Inq. 1
* 7OTHcas-ke--C0659 CASIO KE-2000 Other: Marking Inq. 1
* 7OTHche-e-2-S1242 Chemitronics E-280 Other: UV irradiation tool Inq. 2
7OTHcit-p50-Q11495 CITIZEN P500 Board Packer Other: Printer Inq. 1
7OTHckd-hyw-Q9631 CKD HYW2012C-S308 OTH:Chiller 2007 1
7OTHdai-dpc-Q10047 Daitron DPC-100 OTH:Peltier controller 2010 2
7OTHdan-dg -L6594 DANAGRAF DG 905 Other: Imagesetter Inq. 1
7OTHdel-inq-Q9962 DELL(WindowsXP) inq OTH:Desktop PC inq 1
7OTHden-ins-Q12684 Denso INSPAC-10HF-NK-SE Other: Spot Cooler Inq. 2
7OTHdis-dtu-A11026 Disco DTU150 OTH:Chiller inq. 1
7OTHdis-dtu-A11480 Disco DTU150 Other:Chiller Inq. 1
7OTHelg-pur-Q7299 ELGA PURELAB flex oth: Ultrapure water device 2015 1
* 7OTHeme-v-m-H2590 EME V-mini300K Other: Mixer Inq. 1
* 7OTHesp-sth-R0716 ESPEC STH-120 Other: High-Temp Chamber 2000 1
* 7OTHeye-ca--P2732 EYELA CA-1111 Other: Chiller Inq. 1
* 7OTHfam-vc--X2207 Fameccs VC-1200 Other: Cooling Unit Inq. 1
* 7OTHfdc-fdp-P0848 FDC FDP-323M Other: Preheater Inq. 2
7OTHflo-mrc-L11387 FLO MRC-730-S4-2N-V OTH:Washing Machine inq. 1
7OTHfuj-v-3-K9964 Fuji impulse V-301 OTH:degassing sealer 2008-2009 1
7OTHfuk-urd-Q9843 Fukushima Industry URD-40RM1 OTH:Refrigerator inq 1
7OTHgpm-bga-F12368 GPM BGA 8B 1.2*1.2 Other: Kit Inq. 1
7OTHgud-cda-A12140 Gudeng CDACharger Other: CDA Purge Equipment 2006 1
7OTHhak-bcs-Q9637 Hakko BCS1110 OTH:Heater inq. 1
* 7OTHhaw-hd--L0464 Hawkden HD-100A Other: Inq. Inq. 1
7OTHhit-osp-Q10914 Hitachi OSP-22VAN OTH:compressor inq. 1
7OTHhit-osp-Q11380 HITACHI OSP-15VARN OTH:Air Compressor 2011 1
7OTHhit-osp-Q9948 Hitachi OSP-22M6A 22KW OTH:screw compressor 2000 1
7OTHhok-sms-E10226 HOKUETSU SMS4-C OTH:compressor inq 1
7OTHhos-hr--Q9842 Hoshizaki HR-75S OTH:Refrigerator inq 1
7OTHhp-sca-A12597 HP SCANJET2300C other: Scanner Inq. 1
7OTHiai-ix--W5865 IAI IX-HNN6030-10L-T2-SP Other: Actuator Inq. 1
7OTHima-945-M9452 IMAJE 9450 OTH:Inkjet printer 2021 1
7OTHinq-1.6-F12359 Inq. 1.6*1.6 other: BGA1.6*1.6 metal tray Inq. 1
7OTHinq-109-K10956 inq. 109S008 OTH:FAN inq. 3
7OTHinq-109-K10959 inq. 109S005 OTH:FAN inq. 5
7OTHinq-531-Q10099 inq 531CD-NP OTH:Refrigerator(L>R) inq 1
7OTHinq-get-W5839 Inq. GET-H004 Other: Case cover press-fitting machine Inq. 1
7OTHinq-gfm-W5840 Inq. GFM-H002 Other: Shield assembly machine Inq. 1
7OTHinq-inq-A10536 inq inq OTH:anti-vibration table inq 1
7OTHinq-inq-K6045 Inq. Inq. other: Vacuum sealer Inq. 1
7OTHinq-inq-K8696 Inq. Inq. Other: BNC terminal Inq. 16
7OTHinq-inq-Q7860 Inq. Inq. Others: Repair parts Inq. 1
7OTHinq-inq-Q8484 Inq. Inq. oth: Metal case 2015 1
7OTHinq-inq-Q8495 Inq. Inq. other: Worktable Inq. 1
7OTHinq-mhr-E12242 Inq. MHRS-2014 other: Miharu system 2017 1
7OTHinq-mrd-K10964 inq. MRD-2022S-12 OTH:Mercury/Reed relay inq. 150
7OTHinq-mrd-K10965 inq. MRD-302S-12 OTH:Mercury/Reed relay inq. 190
7OTHinq-mr--K10963 inq. MR-1046S-12 OTH:Mercury/Reed relay inq. 280
* 7OTHinq-n2 -N0306 Inq. N2 BOX Other: N2 Box Inq. 1
7OTHinq-s45-K10957 inq. S4556W 200V OTH:FAN inq. 2
7OTHinq-tha-K10960 inq. THA1-S7556X-TP N/O OTH:FAN inq. 4
7OTHinq-tha-K10961 inq. THA1B-S7556X-TP N/C OTH:FAN inq. 1
7OTHinq-tha-K10962 inq. THA2-4556-N/O OTH:FAN inq. 5
7OTHinq-ttr-F12336 Inq. TTR-200 other: Tension machine Inq. 1
7OTHinq-u34-K10958 inq. U3450-TP OTH:FAN inq. 1
7OTHinq-vej-K10966 inq. VEJ55B5 OTH:FAN inq. 5
* 7OTHint-ia--S2011 Inter Action IA-OPT026W Other: Light source for image sensor test Inq. 1
* 7OTHkae-bd5-E4880 Kaercher BD530BAT Other: Floor cleaner 2004 1
7OTHkai-hdv-L11902 KAIJO HDV-701 etc. Other: Board(various) Inq. 1
* 7OTHkat-sp--S0911 KATO SP-41NX Other: Thermal shock chamber Inq. 1
7OTHkaw-inq-R0178 Kawajiri Inq. Other: Hydraulic press Inq. 1
7OTHkaw-kgs-L11386 KAWATA KGS-350-KS OTH:GRANULATOR inq. 6
* 7OTHkey-bt--E0016 KEYENCE BT-500 Other: Barcode Handy Terminal 2003 1
7OTHkey-mk--Q11222 Keyence MK-U6100/MK-P4 OTH:INKJET PRINTER inq. 1
7OTHkey-mk--Q11223 Keyence MK-U6100/P4 OTH:INKJET PRINTER inq. 1
7OTHkey-vt3-E10771 Keyence VT3-V8 OTH:touch panel inq 1
7OTHkom-ei9-W5833 Komatsu EI90L-K Other: Coating drying equipment Inq. 1
* 7OTHkom-phw-R0279 KOMATSU PHW-7220 Other: Pure Water Heating Tool 1996 4
7OTHkom-sma-Q11277 komuratec SmaLabo-Pro  OTH:Flexographic printing press inq. 1
7OTHkyo-lsa-B8186 Kyoto Denkiki LSA-C4S-MPA other: Luminos supply Inq. 1
7OTHmar-met-E10357 Markforged Metal X OTH:3D printer inq 1
7OTHmat-smg-L11385 MATSUI SMG-050-OM OTH:Crusher inq. 1
7OTHmid-pal-S5375 Midori Anzen PALCLEAN other: Separate Smoking system 2002 1
7OTHmis-mch-Q10786 MISUMI MCHK5-30-V200-W40 OTH:Cartridge Heater inq 1
7OTHmis-mch-Q10789 MISUMI MCHK5-30-V200-W40 OTH:Cartridge Heater inq 1
7OTHmis-mtc-Q10788 MISUMI MTCRM OTH:Temperature controller inq 1
7OTHmis-mtc-Q10791 MISUMI MTCRM OTH:Temperature controller inq 1
7OTHmit-p91-A12599 Mitsubishi P91 other: 91W Image printing Inq. 1
* 7OTHmit-zu1-O1785 Mitsui Seiki ZU155A Other: Screw compressor Inq. 1
* 7OTHmit-zu2-O1784 Mitsui Seiki ZU225A2 Other: Screw compressor Inq. 1
* 7OTHmot-541-L0420 Motronix 541C Other: Inq. Inq. 1
7OTHmul- 1.-F12351 Multitest 1.2*1.7 other: CK FOR WLCSP Inq. 1
7OTHmul- 1.-F12353 Multitest 1.2*1.7 other: Incarrier Carrier Inq. 1
7OTHmul-1.4-F12352 Multitest 1.42*1.42 other: CK FOR BGA Inq. 1
7OTHmul-bga-F12370 Multitest BGA1.6*1.6 incarrier Other: Kit Inq. 1
7OTHmul-lga-F12360 Multitest LGA2*2 incarrier Other: Kit Inq. 1
7OTHmul-nit-F12309 Multitest NIT WIU Other: Inq. 2015 1
7OTHmul-nit-F12310 Multitest NIT WIU Other: Inq. 2016 1
7OTHmul-nit-F12311 Multitest NIT WIU Other: Inq. 2016 1
7OTHmul-nit-F12312 Multitest NIT WIU Other: Inq. 2016 1
7OTHmul-nit-F12313 Multitest NIT WIU Other: Inq. 2017 1
7OTHmul-nit-F12314 Multitest NIT WIU Other: Inq. 2018 1
* 7OTHnih-ei--L0482 Nihon Itomic EI-30N5 Other: Instantaneous Water Heater Inq. 1
* 7OTHnih-nf--N0141 Nihon Freezer NF-140S Other: Freezer Inq. 1
7OTHnit-gsa-Q10228 NITEC GSAN-300HN AGT-40N OTH:Bacotin heater 2013 2
7OTHnlc-sp--S5374 NLC SP-10747 Other: Vacuum encapsulating system/2-pack silicone gel 2012 1
7OTHoku-fes-Q8060 Okura FES20-400A12Y09 Other: belt conveyor 2006 2
7OTHopk-pl--E10227 OPK PL-H1000-15 OTH:lifter inq 1
7OTHopt-380-A12615 Optiplex 380DT other: PC Inq. 1
7OTHorg-pur-E10781 ORGANO PURIC-MX OTH:ultrapure water system inq 1
7OTHorg-upw-E7679 Organo UPW-1000 oth: Pure water device Inq. 1
7OTHori-inq-E7258 ORION Inq. Other: Chiller 2014 3
7OTHori-rke-6251 ORION RKE15000B-VW Other: DC inverter chiller 2019.7 1
7OTHpan-gp--B8217 Panasonic GP-ME102K Other: Camera unit Inq. 1
7OTHpan-lmq-B8224 Panasonic LMQYRYG00M2 Other: Signal light unit Inq. 1
7OTHpan-n42-B8230 Panasonic N4231TV2-112 other: Electro-pneumatic regulator Inq. 1
7OTHpan-np--B8216 Panasonic NP-002 Other: Camera Inq. 1
7OTHpan-p95-B8214 Panasonic P951-30200200-B1 other: Transformer box Inq. 1
7OTHrec-spp-A12142 Recif SPP300 Other: Wafer Sorter 2002 1
7OTHrec-spp-A12143 Recif SPP300 Other: Wafer Sorter 2002 1
* 7OTHsan-mov-N0312 SANYO MOV-1025 Other: Thermostatic Bath Inq. 1
7OTHset-rkc-Q10174 SETTSU RKC-1751-71N1 OTH:19inch cabinet rack inq 3
7OTHsmc-cdr-B8232 SMC CDRA1BW30-180 other: Rotary actuator Inq. 1
7OTHsmc-mhz-Q10794 SMC MHZ2-10D2-M9NL OTH:Air Gripper inq 1
7OTHsmc-mhz-Q10795 SMC MHZ2-6D2-M9NL OTH:Air Gripper inq 1
7OTHsmc-mhz-Q10798 SMC MHZ2-10D2-M9NL OTH:Air Gripper inq 1
7OTHsmc-mhz-Q10799 SMC MHZ2-6D2-M9NL OTH:Air Gripper inq 1
7OTHsmc-mxj-Q10796 SMC MXJ12-50ZA-M9NL OTH:Air Slide Table inq 1
7OTHsmc-mxj-Q10800 SMC MXJ12-50ZA-M9NL OTH:Air Slide Table inq 1
7OTHsmc-mxq-Q10805 SMC MXQ12-30C-M9N OTH:Air Slide Table inq 1
7OTHsmc-mxs-Q10801 SMC MXS8-10A-M9NVL OTH:Air Slide Table inq 1
7OTHsmc-mxs-Q10808 SMC MXS8-10A-MPNVL OTH:Cylinder inq 1
7OTHsrm-1.6-F12358 SRM 1.6*1.6 other: SRM kit for BGA Inq. 1
7OTHsrm-srm-F12355 SRM SRM 1.28*0.88 other: Conversion kit Inq. 1
7OTHsug-st8-Q10408 Sugias ST80E-WW OTH:Traverse Lift 2016 1
* 7OTHsun-mpf-C0535 Sun Tech MPF63S-2A Other: Laminator 2005 1
7OTHsun-sch-Q9636 Sun Art SCH-900 OTH:IC Control Heater inq. 1
* 7OTHtai-gbr-N0099 TAIKA GBR-10 Other: Mantle Heater 2008 1
7OTHtdt-ad--A10543 TDT AD-350H OTH:Moisture-proof Box 1997 1
7OTHtel-inq-L0423 Telemax Inq. Other: Digipoint Inq. 1
* 7OTHtel-rd--S2978 TEL RD-9900 Other: Dryer 2007 1
7OTHtel-tpg-Q7459 TELEVIEW TPG430B other: MPEG Stream Station Inq. 1
* 7OTHthe-fle-A4599 Thermo Flex5000 Other: Chiller for SIMS 2017 1
7OTHthk-skr-Q10806 THK SKR3306A-0045-R1-1-Q08MD000L OTH:LM Guide inq 1
7OTHthk-skr-Q10807 THK SKR3306A-0045-R1-1-Q08MD000L OTH:LM Guide inq 1
7OTHthk-skr-Q7729 THK SKR2001A-080 Other: LM Guide Actuator 2018 2
7OTHthk-skr-Q7730 THK SKR2606A-080 Other: LM Guide Actuator 2018 2
7OTHthk-skr-Q7731 THK SKR2001A-080 Other: LM Guide Actuator 2018 2
* 7OTHtoa-sm--L0440 TOA Elec. SM-21E Other: Super Nego Hmmeter Inq. 1
* 7OTHtok-tbl-S0972 TOKEN TBLP-458-TS23 Other: Label printer 2003 1
* 7OTHtor-alc-L0469 Toranzatto ALC Other: Inq. Inq. 5
7OTHtow-con-F12365 TOWA CONVERSION KIT other: Conversion kit Inq. 1
7OTHtuo-inq-G12727 Tuosi Precision Technology (Suzhou) Inq. other: PSS brush 2019 1
7OTHvat-f01-K8703 VAT F01- 53360-31 Other: Gate valve Inq. 1
7OTHvat-f01-K8704 VAT F01-53360-28 Other: Gate valve Inq. 1
7OTHvat-f02-K8708 VAT F02-56548-01 Other: Gate valve Inq. 1
7OTHvat-f02-K8709 VAT F02-56548 -02 Other: Gate valve Inq. 1
7OTHvat-f10-K8705 VAT F10-56549-03 Other: Gate valve Inq. 1
7OTHvat-f10-K8706 VAT F10-56549-02 Other: Gate valve Inq. 1
7OTHvat-f10-K8707 VAT F10-56549-01 Other: Gate valve Inq. 1
7OTHvat-f26-K8699 VAT F26-56549-06 Other: Angle valve Inq. 1
7OTHvat-f26-K8700 VAT F26-56549-05 Other: Angle valve Inq. 1
7OTHvat-f26-K8701 VAT F26-56549-04 Other: Angle valve Inq. 1
7OTHvat-f28-K8702 VAT F28-5654-07 Other: Angle valve Inq. 1
7OTHxin-sw-L11109 Xingjiang SW OTH:Manual Framing machine inq. 1
7OTHxyz-da -Q9634 XYZprinting da Vinci 1.0 Pro 3-in-1 OTH:Printer 2017 1
7OTHyam-ss--W6660 Yamabishi SS-26-10 other: Slidac Inq. 1
* 7OTHyas-px1-Q4501 Yaskawa PX1450 Other: Painting robot 2010 1
* 7OTHyic-(yi-M1615 Yicheng (Yicheng) Other: Magnetic Separator Inq. 10
7OTHyou-inq-A12147 YOUTECH CORP Inq. Other: Parts cleaner/foroxide EtcherParts 2005 1
* 7OTHzeb-s60-P1530 ZEBRA S600 Other: Barcode Printer Inq. 1
* 7OTHzeb-s60-P1531 ZEBRA S600 Other: Barcode Printer Inq. 1
* 7OTHzeb-s60-P1532 ZEBRA S600 Other: Barcode Printer Inq. 1
7OTHzhu-cyy-L11112 ZHUHAI BAIXIN MACHINERY CYY-A3400DG OTH:Laminator Heating Machine inq. 1
7OTHディス-dtu-E7671 ディスコ DTU150 Other: Chiller 1998 1