logo Trust Technology Corporation

When there is interested item found, please contact us. (Input form open.)


FOR SALE TOOLS ---
(ALL)
TTC ID Manufacturer Model Description Vintage QTY Product
new ID Maker Model Description Vin Product
1ASHfoiP11691 FOI Rydeen6800 ash: Descum 2007 1
1ASHkosP8013 KOSTEK Rydeen 8400 Ash: Plasma processor 2015 1
1ASHmarE12250 March PX-1000 Asher: Plasma system 1998 1
1ASHmarQ12059 March PX-1000 Asher: Plasma system 2000 1
1ASHmorE7668 MORY V700X Ash: Plasma processor 2001 1
1ASSphiM8807 PHILIPS Parallel configuration assy: Controlled OL / TF Life Test 2004 1
1ASSweiM8806 WEISS TECHNIK TS 130 assy: Temperature Test Chamber 2004 1
1AUTchiQ12495 Chiyoda PBD-9010-1 Auto clave Inq. 1
1AUTfueA918 FUER DN2500*8500 Autoclave 2014 1
1AUTkoyC576 KOY ENGINEERING HP-95150MA Autoclave 2003 1
1BREoptC952 Opto System WB-4000 Breaker/Chip 2008 1
1CELsunL10555 Sunic Argus SFS20 CEL:Laser Scribing 2016 2
1CELwuxL10563 Wuxi Autowell Technology CHD150-M3000 CEL:PV CELL STRINGER 2017 1
1CELwuxL10562 Wuxi Autowell Technology CHS150-M1300 CEL:PV CELL STRINGER 2020 1
1CELwuxL11106 Wuxi Autowell Technology CHS150-M1300 CELL SOLDERING STRINGER inq. 1
1CLEadvM11914 Advanti Technology R8300S cle: Spin Rinse Inq. 3
1CLEamaP12755 AMAT SRD-8300 cle: Spin dryer Inq. 1
1CLEas L12269 AS ONE PCD-PW cle: Dry Cabinet Inq. 1
1CLEdisQ6688 Disco DCS-140 Cleaner: Wafer Inq. 1
1CLEerP6422 ER Plasmax-800 Cleaner/Plasma 2000 1
1CLEeleL11848 Electrovert Aquastorm 200 Cleaner/Wafer 2007 1
1CLEevgQ7297 EVG EVG-301 Cleaner/Wafer 2005 1
1CLEgclQ11228 GCL GCL-W11D02 Cleaner:ultrasonic 2015 1
1CLEgigL481 GIGA TECH AH5500 Cleaner after Bevel 2008 1
1CLEgptP6885 GPTC Van-200 Semi-auto Cle: Eagle-2100 bench(EDPR-3 tanks) Inq. 1
1CLEhonQ6499 Honda WDX-600-1 Cleaner: ultrasonic 2014 1
1CLEkaiQ9632 KAIJO 400-28F+W300~D450~H450 Cleaning/Ultrasonic inq. 1
1CLEmatK10380 Matsushita ANUCL13S 468 Cleaner/Plasma inq 1
1CLEmaxQ12800 Maxtec MRN2056-TM7 cle: IR-DRYER 2011 1
1CLEnavA9400 NAVOSCAN PSDP-UV10T Cleaning systems:UV 2014 1
1CLEoriL10472 ORION RAX450F-WE CLE:Air Dryer Inq 2
1CLEsakQ8486 Sakigake YHS-G cle: Plasma device 2013 1
1CLEsamP9714 SAMCO UV-1 Cleaner:UV ozone 2001 1
1CLEsamQ609 Samco UV-300 Cleaning System/UV-Ozone 2001 1
1CLEsanQ4900 Sanki S-40(3m3/min) CLE: Scrubber 2014 4
1CLEsanQ4901 Sanki S-60(20m3/min) CLE: Scrubber 2016 1
1CLEsasQ12801 Sasaki C-16 cle: Tunnel dryer 1987 1
1CLEsemM11516 SEMI Tool SRD8300-5-1-E-ML cle: Spin Rinse Dryer 2005 1
1CLEsndQ6593 SND US-20KS Cleaner: ultrasonic 2012 1
1CLEtecE7680 TechnoVision UV-312 Cleaner: UV ozone 2014 1
1CLEuteH5120 UTE(ULTRA t Equipment) SWC111 cle: Wafer Wash Cleaner Inq. 1
1CLNairQ2166 AirTech Inq. Cln. Unit Inq. 1
1COAandL10566 ANDA iCoat-X5 COATING MACHINE 2016 1
1COAm.sP9799 M.SETEK VFD-8000 COA:Developer inq. 1
1COAm.sP11689 M.SETEK VIC8200 Coater/PBO 2006 1
1COAm.sP11690 M.SETEK VIC8200 coa: Developer/PBO 2006 1
1COAmanP3233 Manz (G4.5)DVP (Multi/Paddle/Spray) COA: Developer 2012 6
1COAmicA3899 MIC AWB-1400F Coating Inq. 2
1COAmikQ12506 Mikasa 1H-360 Coater: Spin Inq. 1
1COAsawM11920 Sawatec AG iSpray-300 Coater: Spray Inq. 3
1COAsawM11956 Sawatec AG LSM-250 Coater: Spin 2012 1
1COAsawM11919 Sawatec AG SM-200 Coater: Spin Inq. 1
1COAsusM11921 SUSS MicroTec ACS200G3 Coa: Developer Inq. 4
1COAsusP12757 SUSS ACS200 Coater: Developer Inq. 2
1CVDsvgL10900 SVG 2000 CVD 1997 1
1DICoptD6399 OPTO OBM-90TP Dic: Breaking Inq. 3
1DICoptD6400 OPTO OBM-90TB Dic: Breaking Inq. 3
1DICoptG11810 Opto OBM-90TB Dic: splitter/Breaking Inq. 1
1DICoptD6401 OPTO WBS-4000 Dic: Breaking Inq. 1
1DICsuzG11828 Suzhou Delphi Laser Inducer-5160 Dic: Scriber Inq. 6
1DICsuzG11829 Suzhou Delphi Laser Inducer-5260 Dic: Scriber Inq. 1
1DRIyosO1850 YOSHIDA TEKKO YD5-94CTN Drilling tool Inq. 1
1ELEtskN100 TSK U-FM-10 Elec: Micro Forming Machine 1986 1
1EVAhitE9403 Hitachi E-201 EVAPORATION UNIT 1988 1
1EVAoptP4571 OPTORUN OTFG-1000C Evaporator/Optical thin film Inq. 1
1EVAshoL572 Showa Shinku C-461-C Evaporator/Vacuum 1990 1
1EVAshoL574 Showa Shinku SBC-6SA-RF Evaporator/Vacuum 1989 1
1EVAshoL565 Showa Shinku SC-65A Evap: Control Tool Inq. 5
1EVAshoQ8399 Showa Shinku SC-6SA Evaporator/Frequency adjustment 1975 1
1EVAshoA4660 SHOWA SHINKU SGC-S1550i Evaporator/Vacuum 2013 5
1EVAulvA1721 ULVAC EBB-16C Evaporator Inq. 1
1EVAulvA1722 ULVAC EBX-16C Evaporator Inq. 1
1EXPdnkM1596 DNK MA-1400 Expose: Aligner Inq. 1
1EXPinqV4688 Inq. EFC-1221-07-05 Exp: TCP automatic alignment bonding equipment Inq. 1
1EXPneuP6420 Neutronix Quintel 4000 Exposurer/4-6in. Inq. 1
1EXPneuP6421 Neutronix Quintel 4000 Exposurer/3-4in. 2003 1
1EXPsetV4686 SETEC TPU2260 Exp: POTTING STATION 2007 1
1EXPushK11738 USHIO UX-3100SC-PB01 Exposure 2006 1
1GRIinqL553 Inq. MFL-3B Grinder Inq. 3
1GRInihL554 Nihon Barrel C-3 Grinder/Barrel Inq. 1
1GRInisM1618 Nissin Machine Works HI-GRIND1 Grinder/Centerless 1991 1
1GRIntsG10064 NTS CO.LTD Nanosurface-GH280pc/VDM Grinder inq 1
1GRIwecL7153 WECO E.3 Gri: Patternless (Lens) Edger 2016 1
1INDnatB8183 National 6IH6G Induction motor Inq. 1
1INDnatB8184 National 6IH6GY Induction motor Inq. 1
1INDpanB8185 Panasonic N60421K6GKA Induction motor Inq. 1
1LAPdisP10314 DISCO DAS8920 LAP:Surface Planer 2014 1
1LAPhamL629 HAMAI 3BF2 Lapping 1998 1
1LAPnbsA9215 NBS TECHNOLOGIES WPC EVO lap: Wafer Packing/12in. 2011 1
1LAPspeA11531 Speedfam 12B-5L Lapping 2009 2
1LAPspeP11754 Speedfam 24SWM Lap: Semi-automatic waxing machine@ Inq. 1
1LASdelA928 Delphi Laser BRIGHT SOLUTIONS Laser Machine 2010 1
1LAShanA926 Han's Laser EXPLORER532-150 Laser Machine 2010 1
1LASkatA925 KATAOKA KJC-08110136 Laser Machine 2010 1
1LASkatA927 KATAOKA KJC-08110130 Laser Machine 2010 1
1LASnecL877 NEC SL215F3 Laser Trimming Machine 1998 1
1MACacrL9729 ACRO AW-540DL MAC:Wire Cut 2014 1
1MACagiL12494 AGIE Agiecut Progress V2 Machining: Wire cutting EDM 2008 1
1MACakeE4869 Akebono HL-NO.6 Mac: Tabletop lathe 1970 1
1MACakiK5569 AKIRA-SEIKI TC-400 Machining: NC 2002 1
1MACalmL10763 ALM ALM-UTD5 MAC:Laser Marking 2021 2
1MACamaL12878 AMADA VIPROS Z 358 machining: Punching machine 1998 1
1MACbroL10942 Brother SPEEDIO R450X1 Machining Center 2018 1
1MACchaL11036 Charmilles Roboform 100 MAC:Die Sinking EDM machine 1990 1
1MACchaL11037 Charmilles Robofil 100 MAC:Wire cutting EDM machine 1990 1
1MACcosS2424 Cosmo Ace FK-500 Mac: Drilling tool Inq. 1
1MACdaiG11809 Daitron EMTEC WBM-2200 Machining: Chamfering machine Inq. 1
1MACensQ9627 Enshu ESD-460 Machine/Drilling inq. 1
1MACexeQ10050 EXECN KM-3S-2PD-100V MAC:Vibration motor 2020 1
1MACfanQ11887 Fanuc A02B-0120-B503 mac: 16-TTA control/ Servo Amplifier 1994 1
1MACfanQ11883 Fanuc A06B-6064-H315 machining: AC spindle servo unit 1995 1
1MACfanQ11884 Fanuc A06B-6059-H208 machining: AC spindle servo unit 1989 1
1MACfanQ11885 Fanuc A06B-6066-H004 machining: Servo Amplifier Inq. 2
1MACfanQ11886 Fanuc A06B-6066-H006 machining: Servo Amplifier Inq. 2
1MACfanO1846 FANUC Alpha-0iB Mac: Nano Processing Tool 2006 1
1MACfarP6888 Farman CNC FC-100A Machining: Blade regrinder Inq. 2
1MACfeeN332 FEEDMAT Inq. Mac: Screw Driver Inq. 1
1MACfeiP7813 FEI 800 Mac: Focused Ion Beam 1995 1
1MACfeiP5362 FEI FIB800 Mac: Focused Ion Beam Inq. 1
1MACfujQ11888 FUJI FSP-12 Machining: Polisher/Lap 1986 1
1MACfujH9073 Fuji Manufacturing SGD-6ATV-NE-406 Mac: Sand Blaster 2010-2011 2
1MACharM8055 Harmo HRS-200 (V30) Machine: Robot Inq. 2
1MACharM8054 Harmo RCA-2605 HRX-30/80 (5) Machine: Robot Inq. 1
1MACharM8053 Harmo RCY-2505 HRX30/80 (5) Machine: Robot Inq. 1
1MAChitE4871 Hitachi 2MA-2 Mac: Milling machine 1974 1
1MACinqE4878 Inq. Inq. Mac: Thickener back separator 1998 1
1MACinqK8420 Inq. SAS22P Mac: Compressor Inq. 1
1MACipgK5685 IPGPhotonics YLS-6000-CT Mac: Fiber Laser 2018 1
1MACjanL8425 Janome JSR4404 Machine: SCARA Robot Inq. 1
1MACkanL12034 Kanetec MAGCLEAN MS-6UTS90543 machining: Grinder 1980 1
1MACkatR4607 Kataoka Inq. Mac: Laser Cutting Machine 2015 1
1MACkitE4872 Kitamura KL20M Mac: Tabletop lathe 1979 1
1MACkitE4876 Kitasaki KP-12 Machine: De-oiling/ Pail can 1996 1
1MACkitE4879 Kitasaki KP-12 Machine: De-oiling/ Pail can 1996 1
1MACluxQ9626 LUXO U-500 Machine/Cutting inq. 1
1MACmakO1851 Makino Milling AVNC-74 Mac: Milling tool Inq. 1
1MACmakL11220 Makino KVJP-55 Machine:Milling 2001 1
1MACmatS2425 Matsuzawa Seiko HL-8 Mac: Lathe Inq. 1
1MACmitQ11832 Mitsubishi Electric SF-PRV(2.2kW 4P 200V) machine: Three Phaseinduction Motor 2022 1
1MACmiyE4890 Miyata Inq. Machine: Stator Press-fitting 1995 1
1MACmurF11428 Muratec MS60 machining: CNC Lathe 2019 1
1MACmurF11424 Muratec MW120 machining: CNC Lathe 2018 1
1MACmurF11425 Muratec MW120 machining: CNC Lathe 2019 1
1MACmurF11426 Muratec MW120 machining: CNC Lathe 2019 1
1MACmusQ11233 MUSASHI SM200DS3A MAC:desktop robot 2015 1
1MACmusQ11234 MUSASHI SM200DS3A MAC:desktop robot 2016 1
1MACnagL473 Nagaoka CG1500103 Mac: Rounding Tool Inq. 2
1MACnatB8195 National N6042IK6GKA2 Machining: Motor Inq. 2
1MACnecQ7367 NEC Inq. mac: CerDIP package opener 2003 1
1MACnecQ9718 NEC LQUP-5136 MAC:Laser trimming device inq. 1
1MACokkW1817 OKK T-45-15 Mac: Lathe Inq. 1
1MACokuL12033 OKUMA VR-40II Machining Center/CNC Vertical Inq. 1
1MAComrB8223 OMRON D4C-1424 Machine: Small limit switch Inq. 1
1MACoptD6404 OPTO OSM-80TP Machining: laser Scriber Inq. 2
1MACoriB8218 ORIENTAL MOTOR 2GK15K Machine: Gear head Inq. 2
1MACoriB8219 ORIENTAL MOTOR 2GN9K Machine: Gear head Inq. 2
1MACoriB8190 ORIENTAL MOTOR 2RK6GK-AT Machining: Motor Inq. 1
1MACoriB8191 ORIENTAL MOTOR 2RK6GN-AM Machining: Motor Inq. 1
1MACoriB8220 ORIENTAL MOTOR 3GK36K Machine: Gear head Inq. 1
1MACoriB8221 ORIENTAL MOTOR 5GK60K Machine: Gear head Inq. 1
1MACoriB8196 ORIENTAL MOTOR OIK3GN-B Machining: Motor Inq. 1
1MACpanB8204 Panasonic 2RJ4A-AUL-P Machine: Reversible motor Inq. 1
Panasonic C5309B DC-ADA 0
Machining: Driver Inq. 1
1MACpanB8197 Panasonic DV46JC20LFGC/P325C-020LFG-C Machining: Motor driver Inq. 1
1MACpanB8198 Panasonic DV47H075LB2A/P321E-1-8 Machining: Motor driver Inq. 2
1MACpanB8199 Panasonic DV47J075LFGE/P325C-075LFG-E Machining: Motor driver Inq. 1
1MACpanB8200 Panasonic DV47L005MSGF/P326M-005MSGF Machining: Motor driver Inq. 1
1MACpanB8201 Panasonic DV47L010MSGF/P326M-010MSGF Machining: Motor driver Inq. 1
1MACpanB8202 Panasonic DV47L040MSGH/P326M-040MSGH Machining: Motor driver Inq. 1
1MACpanB8222 Panasonic M6GA12.5B Machine: Gear head Inq. 4
1MACpanB8192 Panasonic M6IA6G4L Machining: Motor Inq. 1
1MACpanB8193 Panasonic M6RA6G4L Machining: Motor Inq. 4
1MACpanB8194 Panasonic M6RA6GB4L Machining: Motor Inq. 1
1MACpanB8161 Panasonic MFA010LA2NSK Machining: AC Servo moter Inq. 1
1MACpanB8162 Panasonic MFA010LD2BPJ Machining: AC Servo moter Inq. 1
1MACpanB8163 Panasonic MFA020LD2NP Machining: AC Servo moter Inq. 1
1MACpanB8164 Panasonic MFA020LD2NPJ Machining: AC Servo moter Inq. 1
1MACpanB8165 Panasonic MFA024LA2NSJ Machining: AC Servo moter Inq. 2
1MACpanB8166 Panasonic MFA030LA2NSJ Machining: AC Servo moter Inq. 1
1MACpanB8167 Panasonic MFA040LA2NSA Machining: AC Servo moter Inq. 1
1MACpanB8168 Panasonic MFA040LA2NSJ Machining: AC Servo moter Inq. 1
1MACpanB8169 Panasonic MFA075LB2NSC Machining: AC Servo moter Inq. 1
1MACpanB8170 Panasonic MFA075LB2NSJ Machining: AC Servo moter Inq. 2
1MACpanB8206 Panasonic N606PH26601B Machine: Stepping motor Inq. 1
1MACpanB8207 Panasonic N606PH26821B Machine: Stepping motor Inq. 1
1MACpanB8182 Panasonic N902YD70-242 Machine: Floppy disk driver Inq. 1
1MACpanB8231 Panasonic P935L-001-D Machine: Sub-operation panel Inq. 2
1MACpanB8171 Panasonic Panadac326F-010MSG-A Machine: AC Servo motor driver Inq. 1
1MACpanB8172 Panasonic Panadac326F-020MSG-A Machine: AC Servo motor driver Inq. 3
1MACpanB8173 Panasonic Panadac326F-040MSG Machine: AC Servo motor driver Inq. 1
1MACpanB8174 Panasonic Panadac326F-040MSG-A Machine: AC Servo motor driver Inq. 1
1MACpanB8178 Panasonic Panadac-7000 ADP-A02S3 Machining: Driver Inq. 2
1MACpanB8179 Panasonic Panadac-7000 ADP-01S2 Machining: Driver3 Inq. 2
1MACpanB8180 Panasonic Panadac-7000 ADP-A01S3 Machining: Driver4 Inq. 1
1MACpanB8181 Panasonic Panadac-7000 ADP-E01S1 Machining: Driver4 Inq. 1
1MACpanB8187 Panasonic Panadac610-ADA-01 Machine: Driver Inq. 4
1MACpanB8188 Panasonic Panadac337E Machine: Palse Moter Driver Inq. 1
1MACpanB8189 Panasonic Panadac337L-A Machine: Palse Moter Driver Inq. 1
1MACpanB8203 Panasonic Panadac-941A Machine: Position controller Inq. 1
1MACpanB8205 Panasonic Panadac-769 Machine: Sarvo motor drive Inq. 1
1MACpanB8213 Panasonic Panadac948 Machine: Torque motor driver Inq. 1
1MACpanB8225 Panasonic Panadac-923T-K02-H Machine: Main operation panel Inq. 1
1MACparL10001 Paragot inq MAC:Laser Table inq 4
1MACrofL10000 Rofin inq MAC:Laser Marker inq 1
1MACs&mE4874 S&M Machinery WCL-17 Machine: Centering 1989 1
1MACsanL413 Sanseidenshi Inq. MAC: Assembly Tool/UV-Ozone Inq. 1
1MACsanL499 Sansei Inq. Mac: Wide Band Amplifier Inq. 1
1MACsmcQ10802 SMC CDQ2KWB20-5DZ-M9NV MAC:Cylinder inq 1
1MACsmcQ10793 SMC JCDQ40-15-M9NVL MAC:cylinder inq 1
1MACsmcQ10797 SMC JCDQ40-15-M9NVL MAC:Cylinders inq 1
1MACsmcQ10803 SMC JCDQA25-5-M9NV MAC:Cylinder inq 1
1MACsmcQ10804 SMC MGJ6-5-F8N MAC:Mini Guide Rod Cylinders inq 2
1MACsodL11928 Sodick K1C machine: Super Drilling EDM 2012 1
1MACspeN548 Speedfam 24-MTW Mac: Mounting Station Inq. 1
1MACstaM8056 Star Seiki C45-800FIII Machine: Robot Inq. 1
1MACsuzG11808 Suzhou Delphi Laser CLTRA SCRLBER-15 Maching: Laser cutting Inq. 1
1MACtakF11429 Takahashi Machinery LO3A-W-NCL machining: CNC Lathe 2019 1
1MACtakA9887 Takatori MWS-610SD MAC:wire saw 2016-2018 2
1MACtakF11427 Takamaz XC-150 machining: NC Lathe 2019 1
1MACtdkE7117 TDK MDM-20 Machining:Underfill 2008 2
1MACtdkE7662 TDK MDM-20 Machining:Underfill 2001 1
1MACthkQ9629 THK INTECHS BX-N9064 MAC:Scriber 2009 1
1MACtokL410 Tokyo Craft Inq. MAC: SMD Crystal Mount Bonding Tool Inq. 1
1MACtokL411 Tokyo Craft Inq. MAC: SMD Crystal Lid Tacking Tool Inq. 1
1MACudaQ7260 Udagawa 350 Machining: Pellet/Polishing machine 2005 1
1MACvexB8208 VEXTA PH2610-01B Machine: Stepping motor Inq. 1
1MACvexB8209 VEXTA PH268-21B Machine: Stepping motor Inq. 1
1MACvexB8210 VEXTA PH296-02 Machine: Stepping motor Inq. 1
1MACvexB8211 VEXTA PH299-02B Machine: Stepping motor Inq. 2
1MACvexB8212 VEXTA PH569-A Machine: Stepping motor Inq. 1
1MODbooL10557 Boostsolar BSL23360AC-H MOD:solar cell module laminator 2018 1
1MODbooL10558 Boostsolar BSL23360AC-H MOD:solar cell module laminator 2019 1
1MODgsoL10560 GSOLAR XJCM-9 MOD:SOLAR MODULE TESTER inq 1
1MODgsoL10561 GSOLAR XJCM-10AS MOD:SOLAR MODULE TESTER inq 1
1MODhspA932 HSPV HSM-2 Module: Solar simulator 2010 1
1MODshaL10564 Shanghai Shengpu SPZ-2100GS MOD:DISPENSING FRAM 2016 1
1OVE1 cL11034 1 Click SMT IR-3350P Oven:Lead Free Curing 2019 1
1OVEandL10567 ANDA iCure-3 OVEN 2016 1
1OVEas Q6500 AS ONE EG-100 ove: Hot plate 2002 1
1OVEbluM12013 BlueM DCI-336 oven: Epoxy Cure & MSL Bake Inq. 6
1OVEchiE7072 CHIYODA Electric ACS-650 ove: Cure system 2016 1
1OVEdenK1421 Denko DZ13-4-T4.4MN Oven: Furnace(Desktop) 2003 1
1OVEetaE9401 ETAC HT330K OVE:Bake furnace 1994 1
1OVEfujB1017 Fuji Denpa High-multi-5000 Oven: Multi-purpose furnace Inq. 1
1OVEkyuE7666 Kyushu-nissho MSS-150 Oven: cure 2007 1
1OVElucE9421 LUCHI HP-300 OVE:Hot plate inq. 1
1OVEmsaQ8493 MSA FACTORY PA8010 ove: Hot plate 2015 2
1OVEsanQ8504 Sankyo SBC-2400 ove: Conveyor furnace 2018 1
1OVEtokE9422 Tokyo Glass Instruments Co F-120-SP OVE:electric furnace 1997 1
1OVEvwrL8422 VWR VWR-1602 Oven Inq. 2
1OVEyamL6488 YAMAZAKI DENKI YTG-9917 ove: Glass Sealing Furnace 1999 1
1POLallR171 ALLIED HIGH TECH TECHPREP Polisher Inq. 1
1POLamtA5659 AMT ASL-36B4RM-F Polisher 2014 2
1POLamtA5658 AMT ASP-36B4R Polisher 2014 7
1POLatmA5660 ATM CMP-910 Polisher 2012 2
1POLdaiA10061 Daitron WBM-210 POL:chamfering machine 2009 1
1POLgigA6976 GIGAMAT 3806 Polisher Inq. 4
1POLhamQ7351 Hamamatsu C7103 Polisher: IC back surface 2000 1
1POLhyoL869 Hyoukaken MK-USL-3S Polisher/3B 1989 1
1POLhyoL870 Hyoukaken MK-USL-3S Polisher/3B 1990 1
1POLipeG10102 IPEC/WESTECH 472 Polisher:CMP inq 3
1POLjapL555 Japan Barrel Industry C-3 Polisher 1999 1
1POLspeD6402 SPEEDFAM 24DPAW-T0393 Polisher Inq. 1
1POLspeD6403 SPEEDFAM 32BAW Polisher Inq. 1
1POLspeG11807 SPEEDFAM 36DPAW Polisher Inq. 5
1POLspeA3897 Speedfam 36GPAW-TD Polisher 2013 1
1POLspeG11806 SPEEDFAM 36GPAW Polishing: Single Side Inq. 3
1POLspeG11879 SPEEDFAM 36GPAW Polishing: Single Side Inq. 11
1POLspeP11308 Speedfam 36GPAW-TD Polishing Machine:Single Side inq. 1
1POLtecT610 TechnoPro Marugen MDS606-F Polisher/Brush 1997 1
1POLweiM1617 WeiHai SHK-18A Polisher/Centerless 2010 1
1PREas Q7295 AS ONE AH-2003 Press machine 2016 1
1PREaviL463 Avionics NRW-50 Pre: High-freq.Induction Heating Inq. 1
1PREbatL12790 BATTENFELD Microsystem MM 50/50 Press: Injection Molding System 2003 1
1PREbroE3946 brother TC-218N Pre: CNC tapping center 1996 1
1PREfujQ11224 FUJI CONTROLS VM-80 PRESS:AIR inq. 1
1PREhitC538 Hitachi Industries FITZ Pre: Film and Sheet Forming Machinery 2005 1
1PREinqL11108 inq. BX-SCTE2 PRE:EVA cutting machine inq. 1
1PREinqL10556 inq SCSS-CQ PRE:EVA CUTTING MACHINE inq 1
1PREkohS821 Kohtaki Inq. Press/Hydraulic /TRM Inq. 4
1PREkomL9233 Komatsu OBS 45-2 Press 45 Ton 1982 1
1PREkujE4886 Kujiraoka Inq. Press: Molding 1980 1
1PREleiC527 Leistritz Extrusionstechnik GmbH ZSE27-HP Pre: Twin-screw Extruder 2005 1
1PREmikQ8575 Mikado VS38-2020 Press machine/Vacuum heating 2018 1
1PREnikN247 Nikka Equipment AF-40A Pre: ACF Attachment 2007 1
1PREnikN248 Nikka Equipment FBM-40M Pre: ACF Crimping 2007 1
1PREnisL12791 NISSEI DCE60-2E Press: Injection Molding Machine 2001 1
1PREnitE4894 Nittoku Inq. Pre: Stranded conductor 1997 1
1PREsodQ12181 Sodick TR40EH Press: Injection Molding Machine 1999 2
1PREsodQ12182 Sodick TR55EH Press: Injection Molding Machine 2002 1
1PREtaiM12298 TAIYO DP-100 press: Depression processing machine Inq. 4
1PREtakR3863 Takada CSX-100Lab Pre: ULTRASONIC Cuting System Inq. 1
1PREtosQ12183 Toshiba Machine(Shibaura) EC60-1.5Y Press: Injection Molding Machine 2000 1
1PREwasQ10826 wasino 25 Press machine inq 1
1SLInomL871 Nomura PSM70 Slicer/Multi Blade 1994 1
1SLIokaL777 Okamoto ASM-1HB Slicer Inq. 1
1SLIokaQ4493 Okamoto ASM-1HB Slicer 1986 1
1SPUaktP3225 AKT NAR1800 Twin Sputter: ITO+Metal 2012 1
1SPUameA4598 Ametek IMS6fe7 with Wincurve SW Spu: SIMS 2017 1
1SPUdenP5175 Denton Integrity 26 Spu: Optical thin film deposition 2013 1
1SPUfulA6233 FULINTEC FU-12PSB Sputter System Inq. 1
1SPUhitE9404 Hitachi E101 SPUTTER 1988 1
1SPUimoQ8503 Imoto IMC-7801 Spu: Roll to roll 2018 1
1SPUkitQ8494 Kitano-seiki KJST-3000 Spu: Evaporator 2006 1
1SPUoptM11720 Optorun NCS15 Sputter Coater 2022 1
1SPUoptS11634 Optorun WP0-1300 Sput: Deposition system 2008 1
1SPUshiQ7253 SHINCRON BMC-850 Spu: Evaporator 1996 1
1SPUshoQ5287 Showashinku SRC-20C Spu: Evaporator 1997 1
1SPUtemH8307 TEMESCAL BJD-1800 Spu: Evaporator 2002 1
1SPUtemI5429 Temescal FC-1800 spu: E-Beam Evaporator Inq. 1
1SPUulvH8308 ULVAC EX-550 Spu: Evaporator 1991 1
1TAPhopS4237 Hope Seiki MET150S Taping Tool 1998 1
1TAPnelC908 NEL MA-1508 Taping Tool Inq. 1
1TAPtakA5549 TAKATORI ATM-1000B Tape Laminator/5in. Inq. 1
1TAPtakA5551 TAKATORI ATRM2000B Tape Remove /5in. Inq. 1
1TAPtodS101 Todo TT300EH-KSR Taping Tool 1999 1
1TAPtodS108 Todo TT300EH-KSR Taping Tool 1999 1
1TAPv-tP1508 V-TEK TM4000 Taping Tool Inq. 1
1TAPv-tP1509 V-TEK TM4000 Taping Tool Inq. 1
1WAFhitA3902 HITACHI FITZ Waf: Film and Sheet Forming Inq. 1
1WAFntsG11824 NTS NSB-1050 Wafer: waxing machine Inq. 5
1WAFntsG11825 NTS NSL-2024 Wafer: Polisher Inq. 4
1WAFspeG11805 SPEEDFAM 36SWM Wafer: Semi-waxing machine Inq. 10
1WAFtaiG11827 Taiwan Zhengen BW-310FA Waf: Splitter Inq. 11
1WELamaH5149 Amada Miyachi ML-2351A Welder: Laser Inq. 1
1WELamaQ11221 AMADAMIYACHI ML-2150A Welding Power Supply inq. 1
1WELamaQ11230 AMADAMIYACHI ML-2050A Welding power supply 2015 1
1WELamaQ11232 AMADAMIYACHI ML-2150A Welding power supply 2017 1
1WELamaW5838 Amada Miyachi SIT-335 Welding transformer for inverter Inq. 1
1WELamaW5842 Amada Miyachi WM-A727 Welding monitor for resistance welding machine Inq. 1
1WELaviL782 Avionics NRW-50 Welder/Spot Inq. 1
1WELemeL10565 EMERSON BRANSON L20Y WELDING MACHINE inq 1
1WELeyeW5837 EYELA CCA-1111 Wel: Cooling water circulation/ Cool Ace Inq. 1
1WELhanQ11225 HANS PB25CE Welding Power Supply inq. 1
1WELkk E4887 KK Ushio Inq. Welder: Water 1988 1
1WELnipW9395 Nippon Avionics NAW-1105 welding machine:sealer inq. 1
1WELnovQ6504 NOVAPAX TZ505 Welder: Spot 2001 1
1WELoriL858 Origin OS-1200 Wel: Parallel Seam Sealer 1999 1
1WELoriQ8400 Origin OS-20S Welder: seam 1999 1
1WELoriM1616 Origin SA-29 Welder/Spot 2000 22
1WELpanQ6502 Panasonic HIMAX3000 Welder 1977 1
1WELpanL12642 Panasonic YR-355CM2YGH weld: Resistance Welding Machine Inq. 1
1WELsei8980 SEIDENSHA SONOPET SG-900 Welder: Plastic Inq. 1
1WELtosM12299 Toshiba USB-842 weld: Strap bonder Inq. 3
1WETcheM11087 Chemcut Corp CC8000 Wet: Ether 2012 1
1WETlamQ12499 Lam Research(Former SEZ) SP4200 wet: Spin etcher/200mm 2003 1
1WINhitQ9650 Hitowa Seiki HW-4000YG Winding machine inq. 1
1WINhitQ9651 Hitowa Seiki HW-4010 Winding machine inq. 1
1WINinqE4885 Inq. OW-112 Winding machine 1988 1
1WINkamE4892 Kamei Inq. Winding machine/CPD 1996 1
1WINkokE4891 Kokusai Inq. Winding test equipment 1995 1
1WINnitE4893 Nittoku Inq. Winding machine/Tying short shaft 1996 1
1WINtanM1619 Tanaka seiki MSC1804 Winding Machine 2007 1
1WINtanM1620 Tanaka seiki MSC1804 Winding Machine 2004 1
1WINtanM1621 Tanaka seiki MSC1806 Winding Machine 2004 1
1WINtanM1622 Tanaka seiki MSC1806 Winding Machine 2006 1
1WINtanM1623 Tanaka seiki MSC1806 Winding Machine 2008 1
1WINtanM1624 Tanaka seiki MSC1806 Winding Machine 2008 1
1WINtoeE4884 Toei Inq. Winding machine(for CDH) 1998 1
1WINtoeE4889 Toei Inq. Winding machine 1994 1
1WINubuE4882 Ubukata KP8M Winding machine/Inner 1997 1
1WINubuE4881 Ubukata KT-60 Winding machine 1997 1
1WINvibE4898 Vibron WT-3303 Wind: Surge Comparison Tester 1990 1
1WIRtakA11530 Takatori MWS 612-DN Wire saw 2014 1
1WIRtakF11989 Takatori MWS-610SD Wire Saw/ Multi 2017 1
1WIRtakF11990 Takatori MWS-610SD Wire Saw/ Multi 2018 9
1WIRtokB1275 Tokyo Steel VWS350 Wire Saw 2014 4
1WIRtskQ12496 TSK W-SL-500 Wire saw 200mm/300mm 1997 1
1WIRtskQ12497 TSK W-SL-500 Wire saw 200mm/300mm 2000 1
2CHIalpQ12640 ALPHA DESIGN Board-packer AMM chip: Cut clincher 2012 1
2CHIasmM12017 ASM SIPLACE TX2 chi: Pick and Place machine Inq. 2
2CHIassL9268 Assembleon ACM CHI: Pick-and-place machine inq. 1
2CHIass11519 Assembleon AX-501 Chip Mounter 2008 1
2CHIass11520 Assembleon AX-201 Chip Mounter 2008/2010 2
2CHIborM11790 BOREY SMT-W5-F Chip Mounter Inq. 1
2CHIcanE10080 CANON CAP-3500 Chip Mounter 2006 1
2CHIcas690 CASIO YCM-3300V Chip Mounter(R>L&Front) 1997 1
2CHIemtE10160 EMTEC WCS-1271B Chip Mounter 2007 1
2CHIfujN7211 FUJI IP-3LR Chip: Placement system Inq. 1
2CHIfujD12102 Fuji NXT1 Chip Mounter 2016 6
2CHIfujP12624 FUJI XP142E Chip mounter 2004 7
2CHIfujP12625 FUJI XP142E Chip mounter 2003 1
2CHIfujP12626 FUJI XP142E Chip mounter 2005 4
2CHIfujP12627 FUJI XP142E Chip mounter 2006 2
2CHIfujP12628 FUJI XP143E Chip mounter 2008 3
2CHIgalV3906 Galaxy Inq. Chi: CV BrickPin INSERT Inq. 1
2CHIhitQ11995 Hitachi Inq. Chip: Controll box for TCM-X110 Inq. 1
2CHIi-pR5563 i-pulse M CUBE KGL-500 Chip Mounter(R>L/Rear) 2002 1
2CHIjukL11335 JUKI KE2030M Chip Mounter 2000 1
2CHIjukL11336 JUKI KE2050M Chip Mounter 2004 1
2CHIjukL11337 JUKI KE2060L Chip Mounter 2004 1
2CHIjukP11462 JUKI KE2070 Chip Mounter Inq. 1
2CHIjukP11463 JUKI KE2080 Chip Mounter Inq. 1
2CHIjukL11338 JUKI KE760L Chip Mounter 2009 1
2CHIjukL11339 JUKI KE750L Chip Mounter 2002 2
2CHIjukE7685 JUKI KE-2020 Chip Mounter 2001 1
2CHIjukG6410 JUKI KE-760L Chip Mounter Inq. 2
2CHIjukG6411 JUKI KE-750L Chip Mounter Inq. 1
2CHIjukY9905 JUKI KE-3010 Chip mounter 2016 1
2CHIjukY9906 JUKI KE-2080 with MTC Chip mounter 2014 1
2CHIjukE12641 JUKI KJ-02 Chip Mounter Inq. 1
2CHIjukL11334 JUKI RS1 Chip Mounter 2018 2
2CHIkisQ12062 Kiso mechatronics Inq. chi: Lead frame inserter 2001 1
2CHImasQ7344 Mass SMT-64R Chi: Mounter/Lead-free 2001 1
2CHImirG8316 Mirae MPS 1020 Qpac Chip mounter 2006 1
2CHImirG8317 Mirae MPS 1010 Ac Chip mounter 2006 1
2CHIpanA9247 Panasonic CM101 Chip Mounter inq. 1
2CHIpanG6802 Panasonic CM202-D Chip Mounter Inq. 1
2CHIpanG7199 Panasonic CM202-DH Chip Mounter Inq. 1
2CHIpanG8825 Panasonic CM20F-M Chip mounter Inq. 1
2CHIpanG8827 Panasonic CM202-D Chip mounter Inq. 2
2CHIpanL12390 Panasonic CM202-DH Chip Mounter 2002 1
2CHIpanL12392 Panasonic CM202-DH Chip Mounter Inq. 1
2CHIpanL12394 Panasonic CM202-DS Chip Mounter 2004 1
2CHIpanR5800 Panasonic CM20F-M Chip Mounter(L>R/F) 1999 1
2CHIpanL12391 Panasonic CM301-D Chip Mounter 2002 1
2CHIpanL12393 Panasonic CM301-D Chip Mounter Inq. 1
2CHIpanL12395 Panasonic CM301-D Chip Mounter 2003 1
2CHIpanG7638 Panasonic HT132 Chip Mounter 2005 1
2CHIpanX2615 Panasonic KXF6GB0A00 CHI: Motor Driver Inq. 1
2CHIpanX2616 Panasonic KXFP6F97A00-R CHI: Y-Axis Driver(CM301D) Inq. 1
2CHIpanN7205 Panasonic MDM202A1D Chi: Axial Inserter Inq. 1
2CHIpanC11265 Panasonic MSR-XL Chip Mounter 2004 1
2CHIpanE7682 Panasert MSR60M Chip Mounter 2003 1
2CHIpanB9702 PANASONIC Panasert-MPAV2B XLiNM-MPA25Aj CHI:Mounter 2001 1
2CHIsamH12768 Samsung Techwin SM421 Chip Mounter 2014 1
2CHIsamH12777 Samsung Techwin SM431 Chip Mounter 2014 1
2CHIsamH12778 Samsung Techwin SM431 Chip Mounter 2014 1
2CHIsamH12779 Samsung Techwin SM421 Chip Mounter 2014 1
2CHIsonY9998 SONY SI-F130AI CHI:mounter 2008 2
2CHIsonY9999 SONY SI-F130AI CHI:mounter inq 1
2CHIsuzV2206 Suzuki SMT-1000V Chip Mounter(L>R/Front) 2000 2
2CHIten689 Tenryu technics MT5530E Chip Mounter(R>L&Rear) 1996 1
2CHIyamM11782 Yamaha I-Cube II chi: Hybrid Placer 2006 1
2CHIyamQ11267 Yamaha M2 CHI:Mounter/R>L Front 2004 1
2CHIyamL11696 Yamaha YS12 Chip Mounter 2012 1
2CHIyamL11695 Yamaha YS24 Chip Mounter 2012 1
2CHIyamS5526 YAMAHA YT-16 Chip Mounter 2003 1
2CHIyamF11010 YAMAHA YV100XG Chip mounter 2003 1
2CHIyamF11011 YAMAHA YV100XG Chip mounter 2008 1
2CHIyamG6801 Yamaha YV100-II Chip Mounter Inq. 1
2CHIyamG7844 Yamaha YV100-X Chip Mounter 2005 1
2CHIyamG7845 Yamaha YV100-II Chip Mounter 2000 1
2CHIyamG7846 Yamaha YV100-XE Chip Mounter 2005 1
2CHIyamM9991 YAMAHA YV100II Chip Mounter inq 2
2CHIyamQ10086 YAMAHA YV100XG CHI:mounter(LR) inq 1
2CLEkomQ11271 Komatsu Electronics ECU46XCD@Lފ‘Ή Cleaner:board/R>L Lower left 2013 1
2CLEnagQ11369 Nagaoka NBC-250EC-400 Cleaning device(L>R/Front) 2006 1
2CLEsawN7209 SAWA Inq. Cleaner: Metal stencil Inq. 1
2CLEsaw507 Sawa SC-145A Cleaner/Squeegee 2006 2
2CLEult337 Ultrasonic CM-230 Clean/Ultrasonic Inq. 1
2CLEuniQ10098 Unitech UC-250W Cleaner:Board(LR) inq 1
2CLNinqE12445 Inq. ACL-722A4-8A CLN: Flux Cleaning 2004 1
2CLNkomL8004 Komatsu ECU60MC Cln: PCB cleaner 2012 1
2CONcogY10193 COGITATE TECHNOLOGY CF-L430 Conveyor 2010 2
2CONdymC11021 Dymax UVCS-230-F300-1-ASIA Conveyor:UV Curing Machine 2017 1
2CONfujL8946 FUJI 100 CM Conveyor Inq. 2
2CONfujL8945 FUJI 150 CM Conveyor Inq. 1
2CONfujB8601 FUJI CAC-4060B Conveyor Inq. 2
2CONfujL11864 FUJI CACE4100B Conveyor 1995 1
2CONfujL8003 FUJI CAC-4100 Conveyor Inq. 2
2CONfujB8602 FUJI Inq. Conveyor Inq. 1
2CONinqL11358 inq. BC-460C CONVEYOR 2014 1
2CONinqY9908 inq BC-100-N Conveyor 2017 3
2CONinqQ11895 Inq. Inq. Conveyor/Mount R>L/Front Inq. 1
2CONinqW5824 Inq. Inq. Conveyor Inq. 1
2CONinqL11356 inq. SBC-100A CONVEYOR inq. 3
2CONinqL11357 inq. SBC-100 CONVEYOR inq. 2
2CONkomQ11797 Komatsu Inq. Conveyor(M size): R>L/Front Inq. 1
2CONmarQ11898 Maruyasu MMX2-CF-104-300-130 U-100 Conveyor : R>L/Front 2003 1
2CONmitQ11899 Mitsuboshi NS82UNO/2BK Conveyor : R>L/Front 2005 1
2CONnagB8603 Nagaoka NBC-250E-400 Conveyor 2005 3
2CONnagB8604 Nagaoka NBC-250E-400 Conveyor (With Timer) 2005 1
2CONnagQ10089 Nagaoka NBC-250E-900 Conveyor(LR) inq 1
2CONnagQ8311 Nagaoka NBC-500ESZ-600 Conveyor/L>R 2017 24
2CONnagR5805 Nagaoka NBC-250E-400 Conveyor (With Timer) Inq. 1
2CONnagR5806 Nagaoka NBC-250E-400 Conveyor Inq. 4
2CONnagQ10087 Nagaoka NJC-250E Conveyor(LR) inq 1
2CONnagQ12683 Nagaoka NJC-250E Conveyor/L>R 2004 1
2CONnagX1609 Nagaoka NJC-250 Conveyor/Flip-up 2000 1
2CONnagX1612 Nagaoka NJC-250 Conveyor Flip-up 2000 1
2CONnagX1611 Nagaoka NL2210E Conveyor 2004 1
2CONnagQ12679 Nagaoka NZC-250E-900 Conveyor/L>R 2004 1
2CONomrQ11479 Omron NBC-460E-ID-600 Conveyor(R>L) 2009 1
2CONpanQ11372 Panasonic M900CONV Conveyor(L>R/Front) inq. 2
2CONpanQ11794 Panasonic NM-2332A Conveyor(M size): R>L/Front Inq. 1
2CONpanQ11799 Panasonic NM-2382H Conveyor P-PUSH(M size): R>L/Front Inq. 1
2CONsamH12766 Samsung Techwin FCC-1000 conveyor: Work table 2015 1
2CONsamH12765 Samsung Techwin WT-200L conveyor: Work table 2015 1
2CONsamH12767 Samsung Techwin WT-200L conveyor: Work table 2015 1
2CONsamH12769 Samsung Techwin WT-200L conveyor: Work table 2015 1
2CONsamH12770 Samsung Techwin WT-200L conveyor: Work table 2013 1
2CONsamH12783 Samsung Techwin WT-200L conveyor: Work table 2015 1
2CONsamH12786 Samsung Techwin WT-200L conveyor: Work table 2015 1
2CONsamH12787 Samsung Techwin WT-200L conveyor: Work table 2015 1
2CONsanL11866 SANYO CA-42E Conveyor 2003 1
2CONsemA580 SemiNet SafeGuard RIS32-0065 Conveyor Inq. 1
2CONyacW1559 YAC DENKO 20-7-T28MN Conveyor 2005 1
2CONysX2172 YS SPK-65 CON: Board Transfer 2004 1
2CONysX1606 YS UC-100F Conveyor 2012 1
2CONysX1607 YS UC-100F Conveyor 2012 1
2CONysX1608 YS UC-100F Conveyor 2012 1
2DISasyE12238 ASYMTEK S-920 Dispenser 2008 1
2DISeliG8035 ELITE EM-5701N Dispensers/Glue Inq. 1
2DISfujN7212 FUJI GL-2 Dispenser: Glue Inq. 3
2DISganA10540 GanbowTechnology GB-300D Dispenser 1999 1
2DISganA10541 GanbowTechnology GB-300F Dispenser 2013 2
2DISi&jC11020 I&J Fisnar Inc I&J-7300C Dispensing Robot 2005/2007 4
2DISjukE7663 JUKI KD-770 Dispenser 2007 1
2DISjukQ11365 JUKI KD-775 Dispensing Machine(L>R/Front) 2002 1
2DISmusS5527 Musashi FAD2000iM24-545) Dispenser 2002 1
2DISmusE12519 Musashi SHOT mini SL Dispenser 2000 1
2DISmusF9277 Musashi SM300DSS-3A dispenser 2015 3
2DISnakQ12070 Naka Liquid Control Inq. Dis: Cap Mounted Machine 2000 1
2DISnak732 Naka Liquid XYZ-MP502 Dispenser 1998 1
2DISoktA10539 OKTEK ES-2060 Dispensing Robot 1997 1
2DISpanQ11270 Panasonic Panasert-HDP-G3 Dispensing machine/R>L Front 1996/1997 1
2DISproH9440 PROTEC Phantasm S Dispenser inq. 1
2DISshiB8608 Shinwa Quspa-MS Dispenser: Resin 2004 2
2DISshiK9496 Shinwa Quspa-GP2 Dispenser inq. 1
2DISsuz978 SUZUKI CPD-1000 Dispenser 2001 1
2ETCavaV3905 Avatek TCW-215/NA66 Etc: Crimping machine Inq. 1
2FEEfujQ9494 FUJI TYPE6000 Feeder 24/32/44/56mm inq. 1
2FEEipuW6974 Ipulse(now Yamaha) F1-82-0603 LG4-M3A00-040 Feeder Inq. 10
2FEEipuW6975 Ipulse(now Yamaha) F2-82-0603 LG4-M3A00-110 Feeder 2007.2 10
2FEEjukQ9399 JUKI inq. Feeder:Tape inq. 1
2FEEjukQ11900 JUKI TR5SN feed: Matrix tray server Inq. 1
2FEEkinV1771 Kintec KAL-650 Feeder Inq. 1
2FEEmyd1012 MYDATA AGILIS 3.7 Feeder 2010 37
2FEEmyd1013 MYDATA AGILIS 4.0 Feeder 2010 39
2FEEmyd1014 MYDATA AGILIS 4.7 Feeder 2010 69
2FEEmydQ11929 MyData(Mycronic) Inq. Feeder + Magazine + Holder Inq. 1
2FEEpanQ12009 Panasonic BM221 Feeder Inq. 23
2FEEpanB9854 Panasonic M9 Feeder inq 1
2FEEsan627 SANYO Inq. Feeder/Tape/TIM-5000 2002 76
2FLYmicV1325 Micro Craft ELX6146 Flying Checker 2008 2
2FLYmicV1326 Micro Craft EMX6151 Flying Checker 2008 1
2FUNanpV4449 ANPS 9800U Func: PCB Tester Inq. 1
2FUNnagQ12677 Nagaoka NS0010TP2E func: Intermediate stocker/L>R 2007 1
2FUNohtV3634 OHT SX-750SUPERW Func: Hign Speed Bare Board Tester( By Non-Contact Test Technologies) Inq. 1
2FUNsunQ12680 SUNSDA SBL-820S-M func: Multi-function buffer/L>R 2007 1
2FUNsunQ12681 SUNSDA SBL-820C-M func: NG stocker/L>R 2007 1
2IN-marG8828 Marantz M22XFV-350 In-Desktop visual inspection Inq. 1
2IN-okaL11361 OKANO ZPC-1500 IN-:ICT 2000 1
2INSaleD12103 Aleader ALD510/5515 Inspection: AOI 2012 6
2INScamP11693 Camtek Falcon830 8 Insp: Bump height 2013 1
2INSeveV5154 EVER RED ER-683K Inspection/Visual 2013 1
2INShitC907 HITACHI WB-3100 Inspection/Visual-3D Inq. 1
2INSjtuL11355 JTU JTA-500 INS:AOI 2016 1
2INSjupL11354 JUPITER 2501S INS:AOI 2006 1
2INSmarW6150 Marantz M22XFV-350 Inspection: AOI/desktop Inq. 2
2INSmarW6151 Marantz M22XFV-350 Inspection: AOI/desktop Inq. 1
2INSmarW6157 Marantz VQZV@FV Inspection: AOI/Inline Inq. 2
2INSmirH12771 MIRTEC MV-3L Inspection: AOI 2016 1
2INSmirH12772 MIRTEC MV-3L Inspection: AOI 2016 1
2INSmirH12784 MIRTEC MV-3L Inspection: AOI 2015 1
2INSmirH12785 MIRTEC MV-3L Inspection: AOI 2015 1
2INSmirL11353 MIRTEC MV-6e OMNI INS:3D AOI 2022 1
2INSomrQ10092 Omron IP-2200 Inspection Support Equipment(LR)@@ inq 1
2INSomrB9855 OMRON VT-RNS-ptH-L INS:Image Inspection Equipment inq 1
2INSomrL11849 Omron VT-RNS-pt-H Inspection/Auto Optical 2009/2013 2
2INSomrL12746 Omron VT-RNS-M Inspection/Auto Optical 2014 1
2INSomrL12747 Omron VT-RNS-L Inspection/Auto Optical 2014 2
2INSomrL12751 Omron VT-RNS Inspection/Auto Optical 2009-2012 5
2INSomrY10188 OMRON VT-WINU INS:AOI/ Lsize 2006-2010 10
2INSpanE7670 Panasonic IPKV2 Inspection: AOI 2003 1
2INSsakL11868 SAKI BF18D-S Inspection: AOI 2004 1
2INSsakL11869 SAKI BF18D-N40 Inspection: AOI 2003 1
2INSsakL11850 SAKI BF-Comet18 Inspection/Auto Optical 2014 7
2INSsakL11867 SAKI BF-COMET18 Inspection: AOI 2010 1
2INSsakL12752 Saki Corporation BF-Planet-XII Inspection/Auto Optical 2011-2012 3
2INSsofR1455 Softex SFX-100 Inspection/X-ray 2006 1
2INSson315 Sony CPC-1000 Inspection/Board 2001 2
2INSsonQ9939 Sony SI-V200 Inspection:visual inspection machine 2009 1
2INStaiW6982 Taiyo TY-VISION M100SC Inspection: AOI 2014 1
2INSwitL10418 WIT IP-3000L Inspection :Visual 2015 1
2INSyamM11121 Yamaha YSi-V Inspection:AOI Optical inq. 2
2INSyolV5155 YOLI NEW ER-690K Inspection/Visual 2011 1
2IN-takQ11236 TAKAYA APT8400 In-circuit tester 1998 1
2IN-tesC11016 Tescon inq. IN-:GNL/ICT MACHINE inq. 1
2LITadtB8411 Adtec ANEX-A2161 Litho: exposure 2004 1
2LITc sV3890 C SUN CSL-A25 Lit: laminating machine 2000 1
2LITcb-Y9812 CB-TEC CBT-Raptor 7600 LIT:Direct writing equipment for inner and outer layers and solder resist 2017 1
2LITgp P11780 GP Group GPEC-5K Litho: exposure 2010 2
2LITheiL9605 HEIDELBERG DWL 200 LIT:Laser Lithography inq. 1
2LITonoQ11976 Ono Sokki TN-800CL Litho: Exposure 1997 1
2LITorbP11640 ORBOTECH Paragon80 Litho: Laser Direct Imaging (LDI) System Inq. 1
2LITorbT11944 Orbotech Paragon 8800 Litho: Laser Direct Imaging (LDI) System 2007 1
2LITorbT11945 Orbotech Paragon Xpress-9 Litho: Laser Direct Imaging (LDI) System 2013 1
2LITorbV4550 Orbotech Paragon-8800i Litho: Laser Direct Imaging (LDI) System Inq. 1
2LITorbP11639 ORBOTECH Ultra paragon80LDI Litho: Laser Direct Imaging (LDI) System Inq. 1
2LITorcQ11975 ORC HMW-201GX-31 Litho: Exposure 2000 2
2LOAeitX2170 Eitech SQ-U21 Load: Unloader Inq. 1
2LOAeunL11347 EUN IL ELD-400 Loader(L>R) 2004 1
2LOAeunL11350 EUN IL ELD-400 LOA:Unloader(L>R) 2004 1
2LOAeunQ10568 EUNIL ESL-100 Loader(R>L/Front) 2016 1
2LOAfujL11348 FUJI SE MNC-3000 Loader(L>R) inq. 1
2LOAfujW6152 FUJI MNC-4050B Loader Inq. 2
2LOAfujL8943 FUJI MUC-3030 Loa: Unloader Inq. 1
2LOAfujW6153 FUJI MUC-4050B Loa: Unloader Inq. 2
2LOAinqQ11375 inq. inq. Loader(R>L/Front) inq. 1
2LOAinqY9909 inq LD-M-TN Loader 2017 1
2LOAinqY9910 inq LD-M-TN LOA:unloader 2017 1
2LOAinqM12794 Inq. PTS System Load: Magazine unloader 2008 1
2LOAinqL8259 Inq. UV-500 Loa: Unloader Inq. 1
2LOAjtuL11349 JTU LD-400B Loader(L>R) 2014 1
2LOAjtuL11352 JTU LD-400B LOA:Unloader(L>R) 2016 1
2LOAjukL8002 JUKI KL-105 Loader Inq. 1
2LOAjukL11351 JUKI KU-200 LOA:Unloader(L>R) 2006 1
2LOAkomQ11791 Komatsu 1C5500 Loader(M size): R>L/Front 1997 1
2LOAmulF12362 Multitest BGA1.6*1.6 Unloader kit load: Kit Inq. 1
2LOAmulF12345 Multitest LGA 2*2 Load board load: Kit Inq. 1
2LOAmulF12339 Multitest MS Unloader kit (1.28*0.88) load: Kit Inq. 1
2LOAmulF12341 Multitest MS UNLD Kit (3*3) load: Kit Inq. 1
2LOAmulF12369 Multitest NIT WIU CONVERSION KITS Load: Unloader Inq. 1
2LOAmulF12348 Multitest UNLD KIT for 0.8*0.8 load: Kit Inq. 1
2LOAnagB8600 Nagaoka NL0010TE Loader 2005 1
2LOAnagR5803 Nagaoka NL0010TE Loader 2004 1
2LOAnagB8599 Nagaoka NL1210E+C/V400 Loader 2000 1
2LOAnagE7669 Nagaoka NL1210E-KM Loader 2003 1
2LOAnagQ12682 Nagaoka NL1210TEH Loader/L>R 2018 1
2LOAnagQ10083 Nagaoka NL2310E Loader(LR) inq 1
2LOAnagQ10096 Nagaoka NL2310E Loader(LR) inq 1
2LOAnagQ8552 Nagaoka NL2310E Loader/L>R 2008 1
2LOAnagQ9537 NAGAOKA NL2310E (LR) Loader inq. 1
2LOAnagX2171 Nagaoka NL2310S Load: loader 1997 1
2LOAnagQ11371 Nagaoka NL-2210E Loader(L>R/Front) inq. 1
2LOAnagQ10090 Nagaoka NS0010TP2E LOA:buffer conveyor(LR) inq 1
2LOAnagQ10093 Nagaoka NS1110TP2E LOA:NG stocker@(LR) inq 1
2LOAnagQ10094 Nagaoka NS1110TP2E LOA:NG stocker(LR) inq 1
2LOAnagB8605 Nagaoka NUS0010TE Loa: Unloader 2003 1
2LOAnagB8606 Nagaoka NUS0010TE Loa: Unloader 2005 1
2LOAnagE7684 Nagaoka NUS1210E Loa: Unloader 2003 1
2LOAnagQ10095 Nagaoka NUS2310E LOA:unloader(LR) inq 1
2LOAnagQ10097 Nagaoka NUS2310E LOA:unloader(LR) inq 1
2LOAnagQ11370 Nagaoka NUS-2210E LOA:Unloader(L>R/Front) inq. 1
2LOAnagQ12678 Nagaoka NUS1110E Load: Unloader/L>R 2004 1
2LOAnagQ12792 Nagaoka NUS2309-7HC Load: Unloader/L>R 2021 1
2LOAnagQ9538 NAGAOKA NUS2310E (LR) Loa:unloader inq. 1
2LOAnagR5804 Nagaoka NUS0010TE Loa: Unloader 2004 1
2LOAnkkL8944 NKKK NL2310S Loader 2004 1
2LOApanG6935 Panasonic Inq. Loader 2000 1
2LOApanL11859 Panasonic NM2336A Loader inq. 1
2LOApanQ11800 Panasonic NM-2386C Loader(M size): R>L/Front Inq. 1
2LOApanB9704 PANASONIC Panasert-MBSF LLiNM-2337Dj Loader 2001 1
2LOApanB9705 PANASONIC Panasert-MBS LLiNM-2337Ej Loader 2001 1
2LOAsamH12774 Samsung Techwin UL300 Load: Unloader 2013 1
2LOAsamH12775 Samsung Techwin UL300 Load: Unloader 2016 1
2LOAsamH12776 Samsung Techwin UL300 Load: Unloader 2013 1
2LOAsunQ11478 SunSDA SLD-880A Loader(R>L) 2008 1
2LOAtamL8942 Tamura NTM100L Loader 2000 1
2LOAtdkM9433 TDK VC-5B LOA:Radial Inserter 1999 1
2LOAuniM9432 Universal 6292A 39565 LOA:Axial Inserter 1999 1
2MACaceW5846 Ace Giken BP-100-03-OMK01 Mac: Liquid dispensing valve/Balpet Inq. 6
2MACaceW5847 Ace Giken BP-100-03-OMK01 Mac: Liquid dispensing valve/Balpet Inq. 1
2MACaelV1578 AEL Inq. Machining: Plating (for PCB) 2009 2
2MACautW5825 Autosplice G8E-240TG Machine: Lead wire crimping Inq. 1
2MACgetG6414 Getech GSR1200 Machining: PCBA Router 2007 1
2MAChitP12675 Hitachi LC-4NV252 Machining:CNC Drilling Inq. 50
2MAChitP10618 Hitachi MD-6NI210E Machining: Drilling Inq. 2
2MAChitP10619 Hitachi MD-6Ne210E Machining: Drilling Inq. 2
2MAChitP11643 Hitachi ND-6NI210E Machining:CNC Drilling 2005 10
2MAChitP12672 Hitachi ND-6MSA Machining:CNC Drilling Inq. 100
2MAChosA10532 HOSOTEC AJC-02 MAC:PCB singulation 2012 2
2MACiwaW5827 Iwashita NK-1/2 Mac: Milling tool Inq. 1
2MAClasV1540 Laser Tek LS-355-400 Mac:PCB Separator 2013 1
2MACmitP12673 Mitsubishi 605GWTV-H Machining: Laser Drilling System Inq. 38
2MACmitP12674 Mitsubishi ML605GWT W Machining: Laser Drilling System Inq. 32
2MACschV4606 SCHMOLL XL6-21 Machining:CNC Drilling 2003 9
2MACshoV4809 SHODA MVC-630A Mac: V-Cut Machine Inq. 1
2MACta Y9805 TA LIANG TL-DG6H210L MAC:driller 2007 1
2MACuniM9431 Universal 2596B 16595 MAC:Sequencer 1999 1
2MACwesV5100 Westwind D1722-03TL Mac: spindle Inq. 46
2MACwesV5101 Westwind D1722-03TL Mac: spindle Inq. 46
2PCBinqR6647 Inq. Inq. PCB container Inq. 1
2PCBmulF12349 Multitest 0.8*0.8 Incarrier(350PCS) pcb: Kit Inq. 1
2PCBmulF12350 Multitest 0.8*0.8 Incarrier(200PCS) pcb: Kit Inq. 1
2PCBmulF12340 Multitest 1.28*0.88 Incarrier pcb: Kit Inq. 1
2PCBmulF12342 Multitest LGA 2*2 Change kit pcb: Kit Inq. 1
2PCBmulF12343 Multitest LGA 2*2 CU pcb: Kit Inq. 1
2PCBmulF12344 Multitest LGA 2*2 Dut board pcb: Kit Inq. 1
2PCBmulF12346 Multitest LGA3*3 down holder pcb: Kit Inq. 1
2PCBmusG6415 Musashi SM300DS-3A-01 PCB: Conformal Coating 2004 1
2PCBpanG8822 Panasonic MPA-23B pcb: Atmosphere Controller Inq. 1
2PRIckdW7605 CKD VP3000 Pri: Solder Paste Inspection 2006 1
2PRIckdQ11477 CKD VP5200L pri: SPI(R>L) 2011 1
2PRIdekD12096 DEK Horizon 03ix Print inspection machine 2013 1
2PRIdekD12097 DEK ICON i8 Print inspection machine 2014 1
2PRIdesD12098 DESEN classic 1008 Print inspection machine 2013 4
2PRIdesD12099 DESEN classic 3008 Print inspection machine 2010 1
2PRIdjtL12749 DJTech BPC-SX2 Pri: Paste Inspection system 2015 1
2PRIjukQ11793 JUKI SI-C500 Print Inspection(M size): R>L/Front Inq. 1
2PRIkohD12101 Koh Young HY8030-2 Pri: Solder Paste Inspection/3D Inq. 2
2PRIkohL12753 Koh Young KY8030L Pri: Solder Paste Inspection/3D 2012 3
2PRIpanE7674 Panasonic IP321 Pri: Solder Paste Inspection 2006 1
2PRIpanE7675 Panasonic IP321 Pri: Solder Paste Inspection 2006 1
2PRIparL11343 PARMI SPI HS60L PRI:3D SPI 2011 1
2PRIsenQ7370 Senju SP-2 Pri: Wetting tester 2011 1
2PRIsynC10284 Synapse 3D SPI PRI:3D Solder paste inspection 2013 1
2PRItriP12619 TRI TR7007_SII Pri: Solder Paste Inspection 2014 1
2PRIzhoD12100 Zhongwei Intelligent 8060 Pri: Solder Paste Inspection/3D Inq. 1
2REFantQ11644 ANTOM SOLSYS-8310IRTP Reflow oven (L>R/Front) 2007 1
2REFantQ11843 ANTOM SOLSYS-8310IRTP Reflow oven (L>R/Front) 2005 1
2REFantQ9938 Antom@ UNI6116H Reflow oven 2009 1
2REFatvI5433 ATV Tech SRO-702 Reflow Oven Inq. 1
2REFbtuL11846 BTU Inq. Reflow Oven 2006-2007 3
2REFbtuV5172 BTU P150 Reflow Oven 1999 1
2REFbtuD12105 BTU pyramax 125N Reflow Oven Inq. 2
2REFbtuM12262 BTU Pyramax 98N Reflow Oven 2003 2
2REFcreP11464 Creator ST-8008R Reflow Oven Inq. 1
2REFdesL11878 Despatch LAC 1-67-6 Ref: Oven 2005 2
2REFdesL11890 Despatch LAC 2-12-6 Ref: Oven 2005 1
2REFdesL11853 Despatch LND 2-11-3 Ref: Oven 2012 1
2REFeigB9703 EIGHTECH TECTRON NIS-20-62C Reflow furnace 2001 1
2REFeigE7683 EIGHTECH NIS-20-62C-RLF Reflow Oven/N2 2003 1
2REFeleG7557 Electrovert Bravo 8105 Reflow Oven 2005 1
2REFeleG7756 Electrovert Bravo 8105 Reflow Oven 2006 1
2REFexcB8607 Excel ER-70CN Reflow oven 2005 1
2REFexcR5802 EXCEL ER-70CN Reflow Oven(L>R/F) 2004 1
2REFfurW9394 Furukawa XC-425PH Reflow Oven 1992 1
2REFfurW6159 Furukawa XNB-738PC Reflow Oven Inq. 2
2REFfurW6160 Furukawa XNB-738PPC Reflow Oven 2005 1
2REFfurW6158 Furukawa XN-445PH Reflow Oven 1996 1
2REFhelL11876 Heller 1707EXL Reflow Oven 2008 1
2REFhelD12104 Heller 1809 Reflow Oven 2010 1
2REFhelL11346 HELLER 1800EXL Reflow Oven 1999 1
2REFhelL11847 Heller 1809EXL Reflow Oven 2006 1
2REFhelM9449 HELLER 1808EXL Reflow oven 2005 1
2REFhelY9907 HELLER 1809 MarkIII N2 Reflow oven 2013 1
2REFhelL11865 Heller 1812SS-II Reflow Oven 2005 1
2REFhelN7213 HELLER 1812SS Reflow Oven Inq. 1
2REFhelM12014 Heller 1936MK5.5 Reflow Oven/N2 Inq. 1
2REFhelM12015 Heller 1936MK5 Ultra Low Reflow Oven/N2 Inq. 1
2REFjam616 JAM LPC200S REF: Crimping Tool 1995 1
2REFjt G6413 JT industries GS-800 Reflow Oven 2010 1
2REFjtuL11003 JTU JTR-1000N Reflow Oven inq. 1
2REFjtuL11344 JTU RS800II Reflow Oven 2016 1
2REFkokQ11798 KOKI ECOR-4099 Reflow oven 2005 1
2REFkoyL868 Koyo 810-II-7Z Reflow Oven 1996 1
2REFmalQ12200 MALCOM RCP-100 Reflow Checker Inq. 1
2REFmatB8062 Matsushita RA-MS Reflow oven R>L 2005 1
2REFsamG7271 Samsung SRF70i93 Reflow oven 2011 1
2REFsenQ11269 Senju Metal Industry SAI-838 Reflow furnace/R>L Front 2005 1
2REFsmiS4236 SMIC SAI-3806JC Reflow Oven 2001 1
2REFsmiE7651 SMIC SX-1508N2 Reflow Oven 2003 2
2REFsunL11002 SUNEAST GENESIS-810N Reflow Oven inq. 24
2REFtamM10005 Tamura Tamura 7 Zone Reflow oven inq 1
2REFtamG8304 Tamura tnp25-538em Reflow oven 2010 1
2REFtamQ10088 Tamura TNP25-537PM Reflow oven(LR) inq 1
2REFtamQ11633 Tamura TNP50-577PM Reflow oven/R>L 2005 1
2REFtamQ6109 Tamura TNR25-435PH-M Reflow Oven Inq. 2
2REFtamS3494 Tamura TNR15-225LH-M Reflow Oven 2003 2
2REFtamQ11451 Tamura XNZ-725PT Reflow Oven/RL 2007 1
2REFtsmH12780 TSM N70-i102SH Reflow Oven 2013 1
2REFtsmH12781 TSM N70-i102SH Reflow Oven 2013 1
2REFtsmH12782 TSM N70-i102SH Reflow Oven 2015 1
2REFvdiG8358 Vdintellisys vd635PC Reflow oven Inq. 1
2REFvicL11345 VICTRONICS SOLTEC Reflow Oven 2003 1
2REFvitL12745 Vitronics MR1243 Reflow Oven 2007 1
2REFvitL12748 Vitronics MR933 Reflow Oven 2010-2012 5
2REFvitM12476 Vitronics Soltec XPM2-520 Reflow Oven Inq. 1
2REFzheL9128 Zhengbang ZBHW-330 Reflow Oven 2020 1
2SCRasmM11915 ASMPT/Dek INFINITY Api Screen Printer Inq. 1
2SCRatmV1773 ATMA AT-80P Screen Printer Inq. 1
2SCRatmV1775 ATMA AT-80P Screen Printer Inq. 1
2SCRaxiV1774 AXIS AT-80PD Screen Printer Inq. 1
2SCRdekL11340 DEK ELA Screen Printer 2003 1
2SCRdekM11954 DEK Galaxy Screen Printer Inq. 5
2SCRdekL10355 DEK Horizon ELA I SCREEN PRINTER 2008 1
2SCRgkgL11341 GKG GL Screen Printer 2015 1
2SCRhitX2627 HITACHI N4A471530-03 SCR: Sensor Terminal(NPX-4 Parts) Inq. 1
2SCRhitX2628 HITACHI YS202L SCR: Transmission block(YS202L Parts) Inq. 1
2SCRi-cL11342 I-CON I8 Screen Printer 2007 1
2SCRjtuC11019 JTU DSP-380VS SCR:PRINTER MACHINE 2005 1
2SCRkinC11023 KINCE KWA 1016 SCR:SEMI AUTO PRINTER inq. 4
2SCRminM10004 Minami 880 Screen paste machine inq 1
2SCRminQ11004 MINAMI MK831SV Screen printer(L>R) 2006 1
2SCRminC11017 MINAMI MK-280SA SCR:PRINTER MACHINE 1997 1
2SCRminQ10084 Minami MK-888SV SCR:Printing Machine/(LR) inq 1
2SCRminQ11315 MinoGroup Y-3540-CH Screen solder printer 2012 1
2SCRmpmM10022 MPM UP2000 Screen Printer 2014 1
2SCRpanB9699 PANASONIC Panasert-SPPV XLiNM-PA05Aj SCR:Solder printing machine 2001 1
2SCRpanQ8387 Panasonic SP28P-DH Screen Printer 2002 1
2SCRpanR5801 Panasonic SP28P-D Screen Printer(L>R/F) 2000 1
2SCRpanQ11368 Panasonic SP60P-MU Screen printer(L>R/Front) 2008 1
2SCRpanE7681 Panasert SPF Screen Printer 2006 1
2SCRpan1276 Panasonic SPPV-XL Screen Printer(R->L/Front) 1997 1
2SCRpanQ11961 Panasonic SP-60P-MU/KXF-1J3C Screen Printer(R>L/Center) 2008 1
2SCRpanQ11962 Panasonic SP-60P-M/KXF-1D3C Screen Printer(R>L/Center) 2005 1
2SCRpdtL11860 PDT PS-1000 Screen Printer Inq. 1
2SCRsamH12763 Samsung Techwin LP1-L Screen Printer 2013 1
2SCRsamH12764 Samsung Techwin LP1-L Screen Printer 2013 1
2SCRsamH12773 Samsung Techwin SMP200 Screen Printer 2016 1
2SCRsamG7641 Samsung SP450V Screen Printer Inq. 1
2SCRsamG8061 Samsung SP400V Screen Printer Inq. 1
2SCRstrQ8613 Stratasys FORTUS 380mc Screen: 3D Printer 2016 1
2SCRtan697 Tani Electronics TD-4121 Screen Printer(R>L&Front) 1998 1
2SCRtenX3090 TenryuSeiki TSP-550 Screen Printer(R>L&F) 2006 1
2SCRyamL11697 Yamaha YCP2 Screen Printer 2011 1
2SCRyamQ11792 Yamaha YGP KGY-000 Screen Printer(M size): R>L/Front 2006 1
2SHUer M12016 Er Mekatron Inq. Shuttler & Conveyor Inq. 1
2SMTeunG6994 Eunil ESB-300MTH smt: NG Buffer 2013 1
2SMTinqM12793 Inq. Sonitron SMT: Washing stencil double tanks 2006 1
2SMTschV5200 Schelling FM-H 180/110 SMT: Substrate cutter 2002 1
2SOLallY10194 ALLRING RK-IF700L Solder ball mounting machine 2006 1
2SOLaurF12367 Aurigin BALL MOUNTER tooling and kit Sol: BP kit Inq. 1
2SOLbesE4888 Bestek Inq. Soldering Machine 1990 1
2SOLdaiQ9937 DAIICHI JITSUGYO BPC-707KD{ Solder machine 2006 2
2SOLersL11852 ERSA Inq. Soldering/Selective 2012 1
2SOLhitL12750 Hitachi MS-710 Solder Paste Printer 2011 3
2SOLintL11877 Interselect IS-T-460P Soldering/Selective 2016 1
2SOLintL11851 Interselect S-I-460PD Soldering/Selective 2016 1
2SOLjtuL11360 JTU WS-450 Soldering Machine 2015 1
2SOLkokQ11897 Koki Tec MDR-350 Soldering System : R>L/Front 2005 1
2SOLkokL11836 Koki Tec ULTIMA-TR2 Soldering System Inq. 10
2SOLmasQ7343 Mass ST-320NB Solder printer: Lead-free cream 2001 1
2SOLmulC897 MULTICORE MUST SYSTEM II Soldering test Inq. 1
2SOLnih695 Nihon Dennetsu LEG-300KR Solder Bath/DIP(L>R&Front) 1984 1
2SOLnihW5888 Nihon-Dennetsu/Sensbey LE-400GT Soldering Bath 1998 1
2SOLnouG7558 Nousstar Tw-350n2 Soldring machine/Wave 2005 1
2SOLsan348 Sanwa Denshi SAC-MC510 Solder DIP Bath: Reed Cutters 1983 1
2SOLseaC10550 Seamark ZM-R8650 SOL:BGA Rework 2019 1
2SOLsenW6148 SENSBEY LG-300NN Soldring machine Inq. 1
2SOLsenQ9988 Senju System Technology MPF-2003ST SOL:Point DIP 2007 1
2SOLsenQ9989 Senju System Technology MPF-2003ST SOL:Point DIP 2013 1
2SOLshiE7107 Shibuya Industries SBM300/310 Solder ball mounter 2003 2
2SOLsmiQ12639 SMIC/Senju MPF-2003ST Soldering machine 2013 1
2SOLsmiQ11431 SMIC SPF-400 Soldering DIP: R>L/Front 2008 2
2SOLtamQ12869 Tamura HC40-32LX Soldering(Wave) System: R>L/Front 2011 1
2SOLvj G7686 VJ Electronix Summit 1800 SOL:Rework system 2012 1
2SOLwakE4895 WAKO Inq. Soldering Machine 2003 1
2SOLwavG7559 Wave Point SA-120 Soldring machine/Wave Inq. 1
2SPRkokQ11896 Koki Tec VIS-350 Spray Fluxer : R>L/Front 2003 1
2SPRkskE7678 KSK FLUX1010 Spr: Fluxer 2008 1
2SPRsmiQ11430 SMIC SSF-400 Spray fluxer: R>L/Front 2008 2
2SPRtamQ12870 Tamura TAF40-12V Spray Fluxer: R>L/Front 2001 1
2TAPeig9 Eightec Tectron A30-82 Tape Applicator 2008 1
3CLEdnsA12135 DNS MP3000 Cle: Wet Station/12in 2005 1
3CMPebaM11015 EBARA FREX300 CMPsystem 2003 1
3COAtelA2900 TEL Lithius I Coat/Develop Inq. 1
3COAtelP2082 TEL Lithius i Coat and Develop Inq. 1
3COAtruG12718 TRUE PING TECHNOLOGY MD-40 Coater: HMDS 2016 1
3CVDamaA12871 AMAT Endura cvd: SL W-CVD/12in 2001 1
3CVDamaA12148 AMAT Produce S CVD/12in. 2002 1
3CVDjusA12873 Jusung Eureka 3000 CVD/12in 2021 1
3CVDtelA12153 TEL Trais CVD/12in. 2002 1
3CVDtelA11001 TEL Trias (SFD TIN ) CVD: Chamber/12in 2007 2
3CVDtelA11419 TEL TRIAS CVD/12in. 2003 1
3CVDtelA12133 TEL Trias CVD/12in. 2003 1
3CVDtelA12138 TEL Trias (SFD TIN ) CVD/12in. 2007 1
3CVDtelA12139 TEL Trias (SFD TIN ) CVD/12in. 2007 1
3CVDtelA12150 TEL Trias TIN chamber CVD/12in. 2005 1
3CVDtelA12151 TEL Trias TIN chamber CVD/12in. 2005 1
3CVDtelA12152 TEL Trias TIN chamber CVD/12in. 2005 1
3CVDtelA12154 TEL Trias SFD TIN chamber CVD/12in. 2005 1
3CVDtelA12155 TEL Trias SFD TIN chamber CVD/12in. 2005 1
3CVDtelA12156 TEL Trias TIN chamber CVD/12in. 2005 1
3DRYamaA5668 AMAT Centris dry: Etcher Inq. 1
3DRYamaA9933 AMAT Enabler Dry Etch inq 2
3DRYlamA12146 LAM LAM2300 Dry: Etching system/12in. 2002 1
3DRYsemP9801 Semsysco Triton DRY:chamber spin etcher + chemical delivery unit 2018 1
3DRYtelA1330 TEL (Inq.) Dry Etch Inq. 8
3DRYtelA2902 TEL 308SCCM Dry Etch Inq. 2
3DRYtelP2083 TEL 308SCCM Dry Etch Inq. 2
3DRYtelA12145 TEL Telius SPSCCMII Poly Dry: Plasma Etching system/12in. 2002 1
3FURaviA578 Aviza Celsior Furnace/12in. Inq. 2
3FURaviA5664 AVIZA Celsior fur: Atomic Layer Deposition (ALD) systems Inq. 2
3PVDcanA12158 CANON I-1230 PVD/12in. 2009 1
3PVDulvA12134 ULVAC ENTRON W300 EX PVD/12in. 2005 1
3PVDulvA12157 ULVAC ENTRON PVD/12in. 2003 1
3PVDulvA12872 Ulvac Entron W300S PVD/12inch 2003 1
3RTPmatA803 MATTSON AST3000 RTP/12in. 2007 3
3RTPmatA1331 MATTSON Helios RTP/12in. Inq. 1
3STEnikM12761 Nikon NSR-S208D Stepper:Lithograophy 2008 1
4ALIcanN407 Canon PLA-501FA Aligner/3in./5in. Inq. 1
4CLEfsiN514 FSI K120 Clean: Rinser Dryer/2.5in. Inq. 1
4CVDbeiA920 Beijing Beiyi Innovation Vacuum Technology Inq. CVD: MOCVD 2012 1
4CVDjusA919 Jusung JSA-1111-02 CVD: MOCVD 2010 1
4CVDveeA3023 Veeco K465i CVD:MOCVD Inq. 3
5 INnikA12669 Nikon OPTISTATION Inspection: Wafer/5in Inq. 1
5CD-hitA12215 Hitachi S-6100 CD-SEM/6in. Inq. 1
5CD-hitA12611 HITACHI S-6200H CD-SEM Inq. 3
5CD-lasA5544 LASERTEC VH2000 CD-SEM/5in. Inq. 1
5CLEtelA12132 TEL UW300Z Cleaning/Wet 2002 1
5DIGfluQ7418 Fluke 85 SERIESV Digital multimeter Inq. 1
5DIGkeyQ7419 Keysight 974A Digital multimeter Inq. 1
5DIGomeQ7435 Omega Engineering HH81 Digital thermometer Inq. 1
5EDSoxfP2730 Oxford 7421 EDS Inq. 1
5EELcasP11692 Cascade REL-4800 eel: Bump resistance 2005 1
5ELEaccA10546 ACCENT PN4300PC ELE:ECV profiling inq 1
5ELEadcQ7455 ADC R6144 Ele: Programmable DC voltage current generator Inq. 2
5ELEadcQ7533 ADC R6144 Ele: Programmable DC voltage current generator 1996 1
5ELEadcQ7534 ADC R6144 Ele: Programmable DC voltage current generator 2004 2
5ELEadcQ7535 ADC R6243 Ele: DC voltage generator 2000 1
5ELEadcQ7495 ADC R6452A Ele: Multi meter Inq. 1
5ELEadcQ7497 ADC TR6141 Ele: Programmable DC voltage current generator Inq. 1
5ELEadcQ7498 ADC TR6143 Ele: DC voltage generator Inq. 1
5ELEadcQ7539 ADC TR6143 Ele: DC voltage generator 1994 2
5ELEadcQ7540 ADC TR6150 Ele: DC voltage generator 1983 1
5ELEadcQ7541 ADC TR6150 Ele: DC voltage generator 1982 1
5ELEadvL476 ADVANTEST R5361A Elec: Freq. Counter Inq. 1
5ELEadvQ7350 Advantest R6144 32V/160 A Ele: Voltage current generator 1997 2
5ELEadvL424 ADVANTEST R6441A Elec: Digital Multimeter Inq. 1
5ELEadvV3927 ADVANTEST R6441A Elec: Digital meter Inq. 1
5ELEadvL426 ADVANTEST TR5821 Elec: Universal Counter Inq. 1
5ELEadvE10769 ADVANTEST TR6845 ELE:Digital Multimeters inq 1
5ELEadvE10770 ADVANTEST TR6552 ELE:Digital Multimeters inq 1
5ELEadvE9416 ADVANTEST TR6848 ELE:DIGITAL MULTIMETER inq. 1
5ELEadvQ7460 Advantest TR6824 Ele: Multi meter Inq. 1
5ELEadvV3942 ADVANTEST TR-6845 Elec: Digital meter Inq. 1
5ELEagiP2668 Agilent 1671G Elec: Logic Analysis Inq. 1
5ELEagiP2669 Agilent 16702B Elec: Logic Analysis Inq. 1
5ELEagiP2674 Agilent 1670G Elec: Logic Analysis Inq. 1
5ELEagiP2673 Agilent 89605B Elec: Module(VXI) Inq. 1
5ELEagiP2672 Agilent E1439C Elec: Module(VXI) Inq. 1
5ELEagiP2670 Agilent E8408A VXI mainframe 4slot Elec: Signal Analysis Inq. 1
5ELEagiP2671 Agilent E8491B Elec: Module(VXI) Inq. 1
5ELEagiP2682 Agilent N4220 Elec: Analysis Prober Inq. 1
5ELEagiA1713 Agilent N8973A Elec: Noize Anaiyzer Inq. 1
5ELEamaA10537 AMA SLM-20T ELE:integrating sphere 2013 1
5ELEamaA10538 AMA SLM-20T ELE:integrating sphere 2014 1
5ELEamaV3909 AMA Optoelectronics SLM-75T Ele: Integrating sphere 2014 1
5ELEaneK8698 ANELVA MIG-921 ele: Ion gauge Inq. 4
5ELEanrQ7437 Anritsu M-215C Ele: Attenuator Inq. 1
5ELEanrQ6589 Anritsu MF1604A elec: Frequency counter Inq. 1
5ELEanrL470 Anritsu MG44A Elec: Oscillator Inq. 1
5ELEanrL466 Anritsu MG545B Elec: ynthesizer Inq. 1
5ELEanrP1309 Anritsu ML2438A Elec: Power Meter Inq. 17
5ELEanrL430 Anritsu ML69A Elec. Voltmeter Inq. 2
5ELEanrP1308 Anritsu MS2691A Elec: Spectrum Analyzer Inq. 17
5ELEanrL496 Anritsu MS420B Elec: Network Analyzer Inq. 1
5ELEanrA9025 Anritsu MT9810A Elec: Optical Test Set Inq. 1
5ELEanrA9026 Anritsu MT9810A Elec: Optical Test Set Inq. 1
5ELEastV3922 ASTRO VG-828D Elec:Signal Generator Inq. 1
5ELEastV3923 ASTRO VG-845 Elec:Signal Generator Inq. 3
5ELEateP2681 ATEM CS-114 Elec: Switcher Inq. 1
5ELEaviL474 Avionics NRW100 Elec: High-freq. Induction Heating Inq. 2
5ELEcatQ7461 CATC USB TRAFFIC GENERETOR Ele: USB TRAFFIC GENERETOR Inq. 1
5ELEendP6426 ENDO/Iwatsu TT-506 ele: Curve Tracer Inq. 1
5ELEeniA9219 ENI OEM Series Ele: RFgenerator Inq. 10
5ELEfluQ7415 Fluke 83 SERIESV Ele: Multi meter Inq. 1
5ELEfujQ7366 Fujita APE904U Ele: Diode DC energizer 2008 1
5ELEgigQ7478 GIGA TRONICS 80301A Ele: Power sensor Inq. 1
5ELEgigQ7515 GIGA TRONICS 8542B elec: Power meter/dual 2003 1
5ELEgwV3929 GW GDM-8145 Elec: Digital meter Inq. 2
5ELEgwV3932 GW GDM-8145 Elec: Digital meter Inq. 3
5ELEgwV3939 GW GDM-8045 Elec: Digital meter Inq. 1
5ELEgwV3940 GW GDM-8145 Elec: Digital meter Inq. 4
5ELEhioK10053 HIOKI 3531 Z HiTESTER ELE: Impedance analyzer inq 1
5ELEhitP855 HITACHI VC-6023 Elec: Oscilloscope Inq. 1
5ELEhpV3914 HP 16500C Elec: Logic Analyzer Inq. 1
5ELEhpV3915 HP 3488A Elec:Frame controller Inq. 1
5ELEhpA5674 HP 4140B ele: pA METER/DC VOLTAGE SOURCE Inq. 1
5ELEhpA1711 HP 4279A Elec: CV Meter Inq. 6
5ELEhpL427 HP 437B Elec: Power Meter Inq. 1
5ELEhpL465 HP 8405A Elec: Voltmeter Inq. 1
5ELEhpL449 HP 8508A Elec: Vector Voltmeter Inq. 1
5ELEhpA9027 HP 8594E Elec: Spectrum Analyzer Inq. 1
5ELEhpL495 HP 87510A Elec: Grain phase analyzer Inq. 1
5ELEhpQ8855 HP 8752C Ele: Network analyzer Inq. 1
5ELEhpQ8856 HP E4425B Ele: signal generator Inq. 1
5ELEhumL566 HUMO Laboratory A-QB-150B Elec: Crystal Blank Frequency Auto-Sorter Inq. 1
5ELEinqK8418 Inq. AE043-01 Elec: Resistance Measurement 2004 1
5ELEinqQ7378 Inq. Inq. Ele: Socket resistance meter 2006 1
5ELEiwaE9414 IWATSU DS-8623 ELE:DIGITAL STORAGESCOPE 1990 1
5ELEiwaL443 Iwatsu FC-8841 Elec: Freq. Counter Inq. 1
5ELEiwaE9415 IWATSU OS-8608 ELE:DIGITAL STORAGESCOPE 1995 1
5ELEiwaE9417 IWATSU SC-7202 ELE:UNIVERSAL COUNTER inq. 1
5ELEiwaL436 IWATSU SS-7821 Elec: Oscilloscope Inq. 1
5ELEiwaL434 Iwatsu VOAC757 Elec: Digital Multimeter Inq. 1
5ELEiwaQ7463 Iwatsu VOAC7513 Ele: Multi meter Inq. 1
5ELEiwaQ7464 Iwatsu VOAC83 Ele: Multi meter Inq. 2
5ELEjeoQ10116 JEOL JXA-8800R ELE:EPMA inq 1
5ELEkeiE10778 KEITHLEY KEITHLEY236 ELE:SMU inq 1
5ELEkenW5826 Kenwood G8D-380HB Elec: Signal generator Inq. 1
5ELEkeyQ7518 Keysight 89441A ele: Vector signal analyzer 1997 1
5ELEkeyQ7429 Keysight E2373A Ele: Multi meter Inq. 1
5ELEkeyQ7430 Keysight E2378A Ele: Multi meter Inq. 1
5ELEkeyQ7528 Keysight N5302A/N5343A ele: DigRF Exerciser 2010 4
5ELEkikQ7427 Kikusui DME1500 Ele: Multi meter Inq. 1
5ELEkikQ9132 Kikusui TOS8700(10kV[AC/DC]) ele: Hipot Tester Inq. 1
5ELEkokE9413 KOKUYO ELECTRIC SCT-5T ELE:curve tracer 1988 1
5ELEkyoE12517 Kyowa riken K-705RS elec: Four-probe measuring instrument 2018 1
5ELEleaL431 LEAD 1MHz Elec: Freq. Reference Inq. 1
5ELEleaL425 Leader LDC-822A Elec: Freq. Counter Inq. 6
5ELElecP2667 Lecroy 9344C Elec: Oscilloscope Inq. 1
5ELElecP2676 Lecroy Bus doctor USB1.1 and 2.0 Adaptor USB BOX Elec: Analyzer Inq. 1
5ELElecP2675 Lecroy CATC3 Bus doctor DR|108|FIT|USB Elec: Analyzer Inq. 1
5ELElecQ9577 LeCroy LC574AL ELE:Oscilloscope inq. 1
5ELElucR174 LUCAS LABS IB420 Elec: Mass Spectrum Analyzer 1996 1
5ELElucR175 LUCAS LABS IB420 Elec: Mass Spectrum Analyzer 1996 1
5ELEmatL446 Matsunaga TA-1010 Elec: Constant-voltage Power Supply Inq. 2
5ELEmicQ7527 Micronix MSA338 Elec: Spectrum Analyzer 2005 1
5ELEnapK11494 Napson RG-80 Ele: resistance meter 2002 1
5ELEnecQ7368 NEC NK-1 Ele: Static electricity measurement 2005 1
5ELEnecQ7453 NEC QB-78F1026-ZZZ Ele: In-circuit emulator Inq. 1
5ELEnewP5185 Newport 2832C Ele: Power Meter Inq. 2
5ELEnf E10779 NF Electronics Instruments 5020 ELE:Frequency Response Analyzer inq 1
5ELEnf E9419 NF ELECTRONIC@INSTRUMENTS M-177 ELE:VOLTMETER inq. 1
5ELEnfV3920 NF WF1946B Elec:Signal Generator Inq. 1
5ELEnidQ8255 Nidec R-5520ix Elec: Insulation inspection Inq. 1
5ELEnodV3944 NODAX AM-342 Elec: Voltmeter Inq. 16
5ELEnoiQ7355 Noiseken ESS-603003 18KG Ele: ESD tester 1984 1
5ELEpanK11984 Panasonic VP-7725B Elec: Audio Analyzer 2001 1
5ELEpanK11986 Panasonic VP-8311A Ele: signal generator 2001 1
5ELEperC808 PerkinElmer AANALYST 200 Elec: Spectrometer Inq. 1
5ELEperA2903 Perkin Elmer D88647 Elec: Cooling Tool Inq. 1
5ELEperA2904 Perkin Elmer SIMAA6000 Elec:Atomic absorption spectrometer Inq. 1
5ELErheC536 Rheometric Scientific RDA III Elec: Dynamic Analyzer 2001 1
5ELEsanL432 Sanders 140A Elec: CI Meter Inq. 3
5ELEsanL433 Sanders 150C Elec: CI Meter Inq. 2
5ELEsanL459 Sanders 200VHF Elec: CI Meter Inq. 1
5ELEsanL417 Sansei AD-8405B Elec: Phase Meter Adapter Inq. 3
5ELEsanQ7422 Sanwa CD110 Ele: Multi meter Inq. 1
5ELEsanQ7423 Sanwa CD770 Ele: Multi meter Inq. 1
5ELEsanL498 Sansei Inq. Elec: STD Freq. Distributer Inq. 1
5ELEsanQ7444 Sanwa PC510 Ele: Multi meter Inq. 3
5ELEsanL450 Sansei SCI-308A Elec: Oscillator Inq. 1
5ELEsanL415 Sansei SF-87B Elec: Freq. Counter Inq. 1
5ELEsanL455 Sansei SF-65LA Elec: Freq. Counter Inq. 4
5ELEsimV3926 SIMCO FMX-003 Electrostatic tester Inq. 1
5ELEsonE10776 Sony Tektronix AFG2020 ELE:function generator inq 1
5ELEspiP2677 Spirent For SMA-2000 Parts Elec: Parts Inq. 1
5ELEspiP2678 Spirent For SMB-2000 Parts Elec: Module-For ML7710 Inq. 1
5ELEspiP2679 Spirent For SMB-2000 Parts Elec: Module-For ML7710 Inq. 1
5ELEspiP2680 Spirent For SMB-2000 Parts Elec: Module-For GX1421A Inq. 1
5ELEtakL441 Takeda TR-5142 Elec: Freq. Counter Inq. 1
5ELEtakL454 Takeda TR-5142SN Elec: Freq. Counter Inq. 2
5ELEtekP6425 Tektronix 577 ele: Curve Tracer Inq. 1
5ELEtekV3933 Tektronix DS3012B Elec: Oscilloscope Inq. 1
5ELEtekE11169 Tektronix P7225 ELE:active probe inq. 1
5ELEtekQ12822 Tektronix TDS1002 Ele: oscilloscope Inq. 1
5ELEtekV3934 Tektronix TDS 3014B Elec: Oscilloscope Inq. 3
5ELEtekV3935 Tektronix TDS3014 Elec: Oscilloscope Inq. 1
5ELEtekP853 Tektronix TEK576 Elec: Curve Tracer Inq. 1
5ELEtekP854 Tektronix TEK176 Elec: Curve Tracer Inq. 1
5ELEtexQ7425 Texio DL-2050 Ele: Multi meter Inq. 5
5ELEtexQ7426 Texio DL-2051 Ele: Multi meter Inq. 1
5ELEtexW5831 Texio LSG-1050 Electronic load device Inq. 1
5ELEtoaL448 TOA Elec. FS-1131 Elec: Synthesizer Inq. 2
5ELEtoaL428 TOA Elec. SR-2 Elec: STD Resistor Inq. 1
5ELEtokS5017 Tokyo Cathode 5100 Elec: Probe card inspection Inq. 1
5ELEtokS5015 Tokyo Cathode 5150 Elec: Probe card inspection Inq. 1
5ELEtokS5016 Tokyo Cathode 5200 Elec: Probe card inspection Inq. 1
5ELEtokE10772 Tokyo Riko Riko@slidetrance@RSA-1 ELE:transformer inq 2
5ELEtopV3943 TOPWARD 1330 Elec: Digital meter Inq. 1
5ELEtosL438 TOSHIBA SK-110 Elec: Voltage Regulator Inq. 2
5ELEwavQ7391 WaveCrest SIA-3000 Ele: Signal Integrity Analyzer Inq. 1
5ELEyewL494 YEW Inq. Elec: Voltmeter(DC) Inq. 1
5ELEyewL445 YEW PV-13 Elec: Vacuumtube Voltmeter Inq. 1
5ELEyokQ7364 Yokogawa 706012-1-D Ele: FG120 Function Generator 2005 2
5ELEyokQ7477 Yokogawa 7544 01 Ele: Multi meter Inq. 2
5ELEyokQ7384 Yokogawa AX100 Ele: Memory Stick Protocol Analyzer Inq. 1
5ELEyokQ7387 Yokogawa AX220 Ele: SD card protocol analyzer Inq. 1
5FTItheA12149 Thermo Fisher ECO3000 FTIR System/12in 2002 1
5INSadvK11985 Advantest R3132 Ins: Spectrum Analyzer 2001 1
5INSagiQ12676 Agilent 7500 series insp: ICP-MS Inq. 1
5INSamaG7708 AMAT Semvision 200CX Insp: SEM Defect Inspection 2000 1
5INSasmA12159 ASML eScan310 Inspection: Wafer 2008 1
5INScamH12802 Camtek Falcon 620plus Inspection: Wafer AOI 2008 1
5INSchrV3941 Chroma 19073 Inspection: Pressure tester Inq. 1
5INSchrV3937 Chroma Inq. Inspection: Pressure tester Inq. 1
5INScmiG12720 CMIt SAPPAS -V5-plus Insp: PSS AOI 2016 2
5INScmiG12721 CMIt SAPPAS-V7 Insp: PSS AOI 2018 1
5INSdinN8274 Ding Jing VM-200 Inspection/Precision two-dimensional visual Inq. 2
5INSdklR168 DKL RU-700 Inspection: Review/Defect 1995 1
5INSeikQ8309 EIKO007/909 L0011Lamp.115V.PTC Insp: EpimetU lamp/125V 250W Inq. 20
5INSeldC526 ELDIM S.A. XL88 Ins: Contrast Machine 2006 1
5INSge L12819 GE PHOENIX NANOMEX 160 Insp: X-Ray Inspection System Inq. 1
5INSgenQ10046 Gentec-EO/thorlabs CL-25/MVL50M23 INS:Beam profiler/Beamage-4M 2017 1
5INShamE4962 Hamamatsu C9334-01etc Ins: FFP measurement unit Inq. 1
5INShitA12136 Hitachi IS3000 Inspection: Dark field 2006 1
5INShitA12160 Hitachi LS6800 Inspection: Wafer surface 2008 1
5INShitQ12835 Hitachi LS-6030 Inspection: Wafer Surface Inspection System Inq. 1
5INShitK8040 Hitachi PD-2000 Inspection: Reticle Surfscan 1989 1
5INShitQ6855 Hitachi U-2000 Insp: spectrophotometer Inq. 1
5INShitQ6856 Hitachi U-2010 Insp: spectrophotometer Inq. 1
5INShitQ156 HITACHI WI-890 Inspection/Wafer Visual /6in. 1997 1
5INShitQ6852 Hitachi Z-5310 Insp: spectrophotometer/flame Inq. 1
5INShitQ6853 Hitachi Z-5010 Insp: spectrophotometer/Zeeman Inq. 1
5INShmiA12130 HMI eScan310 Inspection: Wafer 2008 1
5INShorR169 Horiba EMAX-5770 Inspection: X-ray Microanalyxer 1995 1
5INShorA12144 HORIBA LB-500 Insp.: Size Analyzer 1999 1
5INShpA5559 HP 4062UX Insp: Parametric Tester Inq. 1
5INSinqQ11838 Inq. Inq. Inspection: Wafer/4in. Inq. 1
5INSjaiQ8597 JAI JHS-100 Insp.: Purge & Trap Sampler Inq. 1
5INSjdsP5183 JDSU RM3750 Ins: Optical Back Reflection Meter Inq. 1
5INSkeyQ7586 Keyence CV-X290A Insp: vision sensor 2018 2
5INSklaA11141 KLA 7500 Inspection: Surfscan Inq. 1
5INSklaA6256 KLA-TENCOR 7600 Inspection: Surfscan Inq. 2
5INSklaA10318 KLA Candela CS10R Inspection equipment inq 1
5INSklaA12864 KLA Tencor Candela8720 Inspection: Surface Defect/4-6in 2017 1
5INSklaG12093 KLA Tencor Candela CS10R Inspection/Defect Inq. 1
5INSklaX10037 KLA/ICOS CI-T620 Inspector 2014 1
5INSklaA10210 KLA Tencor CS920 Inspection device 2015 1
5INSklaP6171 KLA / TENCOR eS32 Inspection: Electron-beam/ Wafer 2007 1
5INSklaA5672 KLA ES-32 Inspection: Surface/12in. Inq. 1
5INSklaG10105 KLA-TENCOR KLA-5500 Inspection system inq 1
5INSklaG12514 KLA Tencor KLA2135 Inspection/Defect Inq. 1
5INSklaP10886 KLA Tencor KLA-HRP-P350 INS:Profile meter 2009 1
5INSklaA11947 KLA-Tencor SFS 6420 insp: Particle inspection/ 8in. 1995 1
5INSklaA6671 KLA-Tencor SFS 7700 insp: Particle inspection system 1994-1996 4
5INSklaP6300 KLA-Tencor SFS6200 Inspection: Defect Inq. 1
5INSklaA5552 KLA SURFSCAN 7700 Inspection: Particle Analyzer Inq. 1
5INSklaG12723 KLA ZETA-300 Insp: Optical profiler/3D 2016 1
5INSklaG12724 KLA ZETA-200 Insp: Optical profiler/3D 2010 1
5INSkonS4414 Konica Minolta CS-2000A Insp: Spectroradiometer 2014 1
5INSleiA985 Leica MIS-200 Inspection/Defect Inq. 1
5INSmicM12844 Microvision 998i Inspection: Scanning system Inq. 1
5INSmitE11165 Mitutoyo M-Plan Apo 5X INS:microscope objective lens inq. 1
5INSmitE11166 Mitutoyo M-Plan Apo 10X INS:microscope objective lens inq. 1
5INSmitE11167 Mitutoyo M-Plan Apo 20X INS:microscope objective lens inq. 1
5INSmitE11168 Mitutoyo M-Plan Apo SL50X INS:microscope objective lens inq. 1
5INSnewP5186 Newport 708 8-Channel Ins: Butterfly Fixture Inq. 2
5INSnicA10917 Nicolet ECO-8S INS:SPECTROMETERS inq. 1
5INSnikQ10041 Nikka GP-1-T INS:Goniophotometer 2016 1
5INSnikP12754 Nikon NWL-860 Inspection: wafer Inq. 1
5INSnikA6257 NIKON OPTISTATION-3A Inspection: Wafer Inq. 4
5INSnikA12607 NIKON OST-3-2F Inspection: wafer Inq. 2
5INSnorM12398 Nordson X2.5 Insp: Auto X-Ray Inspection System Inq. 1
5INSnorM12396 Nordson XNC-S600 Insp: Auto X-Ray Inspection System Inq. 1
5INSnorM12397 Nordson XNC-V600 Insp: Auto X-Ray Inspection System Inq. 1
5INSnorM12451 Nordson YTX-X2 Insp: Auto X-Ray Inspection System Inq. 1
5INSosiA5561 OSI METRA 2100m Inspection: Overlay/6" Inq. 1
5INSosiA5562 OSI METRA II Inspection: Overlay/6" Inq. 1
5INSoxfA4595 oxford Aztec X-Max 80T Insp: EDS for TEM 2016 1
5INSparG11826 PARMI 3D-XCEED Inspection: AOI Inq. 1
5INSperP5176 Perkin Elmer Lambda 900 Ins: Spectrometer Inq. 1
5INSperP12620 PerkinElmer PinAAcle 900F Insp: Spectrometer/Atomic absorption Inq. 1
5INSperA5678 PerkinElmer SIMAA6000 Ins: Atomic absorption spectrometer Inq. 1
5INSphiA5670 Philips IR3100 Ins: Infrared depth measuring systems/12in. Inq. 2
5INSqesG6416 QES DIS-8000 Inspection: Optical 2010 1
5INSrsvP9029 RSVI Inspection WS-3800 Inspection: wafer 2008 1
5INSrudA9992 Rudolph NSX320 INS:AOI 2017 1
5INSrudG12510 RUDOLPH NSX100 Inspection/Defect/6in Inq. 1
5INSrudL12820 Rudolph / August NSX-85 Insp: Automated Defect Inspection System Inq. 1
5INSsanR165 SANWA MI-476 Inspection: Oxide Film Evaluation Tool Inq. 1
5INSsanL412 Sanseidenshi SX-5187 Inspection/Temperature /SMD Quartz Inq. 1
5INSsciQ9961 Scitec instruments 300CD Insp: Optical chopper inq 1
5INSsciQ9960 Scitec instruments 420 Insp: lock-in amplifier inq 1
5INSsecG7721 SEC X-EYE 3000A Inspection machine 2007 1
5INSseiL9608 SEIKO SAI 9600S INSPECTION:WAFER 1991 1
5INSseiC807 Seiko SEA1000A Inspection: XRF Inq. 1
5INSsenA11510 Sensofar Plu 2300 Insp: Optical surface profiler/4in Inq. 1
5INSshiQ8842 Shimazu EDX-800HS2 Inspection: EDX Inq. 1
5INSshiE7667 SHIMAZU SMX-100 Inspection: X-ray 2001 1
5INSshiQ9883 Shimadzu UV-2400 INS:spectrophotometer inq 2
5INSsofL11359 SOFTEX SFX-90 Inspection:X-RAY 2018 1
5INSsptF11314 SPTS inq. INS:APM process module 2011 1
5INSsurL12808 SURUGA SEIKI YS-1100 Insp: YAG Welding Alignment System Inq. 1
5INStosS4405 Toshiba itc TOSMICRON@6130FP Inspection:X-ray Inq. 1
5INSvisA1328 Vistec LDS3300M Inspection/Defect Inq. 1
5INSvisA5671 Vistec LDS3300M Inspection: Surface/12in. Inq. 1
5INSvj L12430 VJ Electronix Vertex II Model V90 Inspection system: X-Ray 2017 1
5INSwerL6625 Werth Messtechnik Scope Check 200 3D CNC Insp: 3D-CNC multisensor CMM 2002 1
5INSyokQ7519 Yokogawa AQ2105 Ins: Multi meter 1988 1
5INSyxlP11694 Yxlon Cheetah Inspection:X-RAY 2005 1
5INSzeiM11014 ZEISS A300 INS:Optical Equipment inq. 1
5MACsmcB8215 SMC CDQ2A16-10DM Machine: Thin cylinder Inq. 1
5MEAadeH9688 ADE 6034 Measuring Instrument/Thickness inq. 1
5MEAadeN645 ADEX AX-170A Measure/Rs Inq. 1
5MEAaviQ7542 Avionics TVS-8500 Meas: Infrared thermography 2005 1
5MEAbioA986 BIO-RAD Q8 Measurement/Overlay Inq. 1
5MEAdnsQ159 DNS VL-M6000 Measurement/Thickness /6in. 1993 1
5MEAdnsQ160 DNS VL-M6000 Measurement/Thickness /6in. 1995 1
5MEAetaQ5785 ETAC NT510 mea: Temperature cycle tester Inq. 1
5MEAev M11917 EV Group Europe & Asia/Pacific EVG40NT Measurement/Semi-Automated Inq. 8
5MEAfisC904 FISCHER XMDVM-T7.1-W Measurement/Thickness Inq. 1
5MEAfluQ7400 Fluke 51 Meas: thermometer Inq. 1
5MEAhelP9675 HelmutFischer XDL-B MEA:film thick test instrument 2008 1
5MEAhmN647 HM 9010 Measure/Rs Inq. 2
5MEAhmtA10548 HMT MFS-630 MEA:Distributed Bragg Reflector inq 1
5MEAhozQ7428 Hozan DT-510 Meas: thermometer Inq. 1
5MEAhpP5181 HP 8153A Meas: Optical Power Meter Inq. 2
5MEAinqQ7362 Inq. LE12520RNN Meas: Temperature recorder 2000 1
5MEAinqQ7363 Inq. TVS-110 Meas: Handy thermo 2000 1
5MEAkeiA9023 Keithley 7001 Meas: Switch Control Unit Inq. 1
5MEAkeiA9024 Keithley 7001 Meas: Switch Control Unit Inq. 1
5MEAkeyQ10792 KEYENCE LS-9500 Measuring:high-precision dimensional inq 1
5MEAklaG10106 KLA-TENCOR 5011 MEA:Washing inq 1
5MEAklaH11473 KLA-Tencor FT-750 PRMX01 Meas./film thickness Inq. 1
5MEAk-mP3226 K-Mac STCM-7392AGL Meas: Optical film thickness/Rs Meter+Color 2012 1
5MEAmetL10741 Mettler Toledo TMA SDTA 1 LF/1100 Meas: Thermo-Mechanical Analyser 2014 1
5MEAnanH11472 NANOMETRICS NANOSPEC VT-210 Meas./film thickness Inq. 1
5MEAnapH9689 NAPSON RT-70V Mea:Resistivity meter inq. 2
5MEAnapP9674 NAPSON@ RT-70@ MEA:resistivity meter 1990 1
5MEAnor8979 North Sutton NH LMS-050CM Meas: Labsphere Inq. 1
5MEAolyP3232 Olympus AR2060 Measure: Line width/MCD 2012 1
5MEAoptM11889 Optical Gaging Smartscope ZIP 250 Measuring system: 3D multisensor dimensional 2007 1
5MEArevA12131 REVERA RVX1000 Meas./film thickness 2007 1
5MEArudF11313 RUDOLPH metapulse@200 mm Measuring instrument/Metal film thickness 2003 1
5MEArudA2901 Rudolph MP300 Meas./Thickness Inq. 1
5MEArudA5669 Rudolph MP300 Meas./film thickness 12in. Inq. 1
5MEAsciA10547 SCI FilmTek 1000 MEA:Filmtek inq 1
5MEAshaQ6503 SHAW MODEL@SHA meas: Dew point meter 1991 1
5MEAsksQ7457 skSATO SK-1100/SK-K010 Meas: thermometer Inq. 1
5MEAsksQ7458 skSATO SK-1250MCV Meas: thermometer Inq. 1
5MEAtek8978 TEKNOLOGUE LX4670B Meas: Control Unit Inq. 1
5MEAysyA10740 Ysystems YWAFER Mapper GS2 Meas: PL Mapper/2-4in 2006-2010 2
5MICactS4238 ACTIVE ACT-0LS Microscope 1998 1
5MICameL6686 American Scientific L1800B Microscope/Trinocular 2016 1
5MICapoQ11492 Apollo Precision KMA6-R Microscope: Laser 2013 1
5MICas Q9633 AS ONE DA1-180M Microscope 2020 1
5MICbauA3652 Bausch & Lomb StereoZoom4 Microscope/Stereo Zoom Inq. 1
5MICcarM12795 Carton Optical NSW 30PF Microscope: Stereo Carton 2006 1
5MICeisL6685 Eisco Inq. Microscope/Vernier 2016 2
5MICforP7715 Force Precision S8 Microscope: AFM Inq. 1
5MIChirQ12821 HiROX KH-3000 MicroscopeFDigital Inq. 1
5MIChozL781 HOZAN L-50 Microscope/Stereo Inq. 1
5MICinqK6053 Inq. Inq. Microscope / Protect cover Inq. 15
5MICinqK6054 Inq. Inq. Microscope / Protect cover Inq. 10
5MICinqA3655 Inq. OM Loader Spot Light Microscope Inq. 1
5MICkenL6687 Ken-A-Vision T-19541C-230 Mic: Digital comprehensive Scope 2012 2
5MICkeyE10765 Keyence VH-5000 Microscope inq 1
5MICkeyE9967 KEYENCE VK-8510 Microscope 2005 1
5MICleiA8914 Leica Reichert Division Microscope Inq. 1
5MICleiK6046 Leica Stereo Zoom 4 Microscope Inq. 5
5MICmeiQ12079 Meiji techno EMZ Microscope 2001 1
5MICmirL780 MIRUC Inq. Microscope Inq. 1
5MICmitA10915 Mitutoyo MF-V[Y Microscope inq. 1
5MICmitK9653 MITUTOYO MF-UD1010B Microscope 2008 1
5MICmitL8617 Mitsutoyo QV404 Microscope: Quick Vision System Inq. 1
5MICmitP842 MITUTOYO TM-250 Microscope/Tool Inq. 1
5MICmot5196 Motic SMZ-140 Microscope: General 2001 1
5MICnikE10121 Nikon ECLIPSE l200 Microscope inq 1
5MICnikN8280 Nikon Inq. Microscope Inq. 1
5MICnikQ7530 Nikon Inq. Microscope 1994 1
5MICnikN8273 Nikon M33 Microscope Inq. 1
5MICnikC523 Nikon ME600 Microscope 2010 1
5MICnikC524 Nikon ME600 Microscope 2010 1
5MICnikQ7523 Nikon MICROPHOT-FXL Microscope 1993 1
5MICnikC521 Nikon MM60 Microscope 2010 1
5MICnikE10122 Nikon OPTIPHOT@300 Microscope inq 1
5MICnikR170 Nikon OPTIPHOT-88 Microscope/8in. Inq. 1
5MICnikK6049 Nikon SM5 Microscope Inq. 9
5MICnikA7639 Nikon SMZ800 Microscope Inq. 1
5MICnikK11831 Nikon SMZ800 Microscope Inq. 6
5MICnikQ7538 Nikon SMZ-U Microscope 1993 1
5MIColyA987 OLYMPUS AL100n Microscope Inq. 1
5MIColyE9406 Olympus BH2-RFL-T2 microscope 1986 1
5MIColyE9407 Olympus BH2-MJL microscope/Metallurgical 1985 1
5MIColyN8277 Olympus BH2-UMA.SZ40 Microscope Inq. 2
5MIColyQ11490 Olympus BH2-MJLT Microscope 1986 1
5MIColyQ12710 Olympus BH2-UMA Microscope Inq. 1
5MIColyL483 OLYMPUS BH3-MJLT4 Microscope Inq. 1
5MIColyN8276 Olympus BH3-MJL Microscope Inq. 2
5MIColyA11515 Olympus BHMJL Microscope Inq. 1
5MIColyQ8598 Olympus BHM-MD Microscope Inq. 1
5MIColyE11007 Olympus BX51 Microscope inq. 1
5MIColyE11008 Olympus BX60 Microscope inq. 1
5MIColyK6051 Olympus Inq. Microscope Inq. 15
5MIColyK6052 Olympus Inq. Microscope Inq. 15
5MIColyC522 OLYMPUS MX50L-TF Microscope 2010 1
5MIColyN8275 Olympus MX50 Microscope Inq. 1
5MIColyN8281 Olympus MX50L-TF Microscope: Metallographic 2020 1
5MIColyM11716 Olympus MXN-6R Microscope Inq. 1
5MIColyM12020 Olympus MXN-6R Microscope Inq. 1
5MIColyE9409 Olympus STM microscope/Single-lens 1990 1
5MIColyK8393 OLYMPUS STM-UM Microscope: Measuring Inq. 1
5MIColyM12024 Olympus STM6-F10-3 Microscope 2017 1
5MIColyQ12073 Olympus STM-UM-BDZ Microscope 2001 1
5MIColyS51 OLYMPUS STM-UM Microscope/Tool Inq. 1
5MIColyQ12075 Olympus SZ4045 Microscope 2001 1
5MIColyQ12077 Olympus SZ4045 Microscope 2001 1
5MIColyQ12078 Olympus SZ4045 Microscope 2001 1
5MIColyM12018 Olympus SZ61 Microscope Inq. 27
5MIColyM12019 Olympus SZ61 Microscope Inq. 52
5MIColyQ12076 Olympus SZ6045 Microscope 2001 1
5MICparG12722 Park Systems XE15 Microscope: AFM(Atomic force microscope) 2018 1
5MICperA5428 Perkinelmer Spectrum One Microscope: Infrared Inq. 1
5MICsiiA990 SII SPA465Le Microscope: AFM Inq. 1
5MICsonV3861 SONOSCAN P300 Microscope: Scanning Acoustic 2016 1
5MICsun5197 Sunny optical technology SZ45-ST2 Microscope: General 2005 2
5MICsun5198 Sunny optical technology SZ45-ST2 Microscope: General 2007 2
5MICuniE11006 Union Optical Excamet Microscope inq. 1
5MICuniK6050 Union NCL-2 KLA-150TX Mic: Light unit for microscope Inq. 1
5MICwor5199 World digital NSZ-405 Microscope: Binocular solid 2012 3
5OTHadiA11513 Adixen ASM182TD other: 4Helium Leak Detector/4in Inq. 1
5OTHadiG10182 Adixen ASM GRAPH D+ OTH:Leak Detector inq 2
5OTHamaG10183 AMAT(Varian) VSBD302 OTH:Leak Detector inq 1
5OTHanrL467 Anritsu MG545A Other: Synthesizer Inq. 1
5OTHas N249 AS ONE HS-50D Other: Magnetic Stirrer Inq. 1
5OTHasiL10559 ASIC EL-A OTH: ELECTROLUMINESCENCE TESTER inq 2
5OTHasiL11105 ASIC SHANGHAI SCSS-EL02 Plus OTH:EL Tester inq. 1
5OTHasmF12354 ASM ASM Calibration kit other: Tester Calibration Kit Inq. 1
5OTHayuL876 Ayumi ES-30DL Other: Vacuum Sealer Inq. 1
5OTHb&wQ9958 B&W TEK inc + Sigma BWR-20E/55869 OTH:1064 nm laser inq 1
5OTHbroQ8666 Brookfield LVDV-2+PRO-CP other: Viscometer 2005 1
5OTHbscQ7536 BSC Filters SH4457 oth: High pass filter 2007 1
5OTHbscQ7537 BSC Filters SH4458 oth: High pass filter 2007 1
5OTHbscQ7543 BSC Filters XN4455 oth: Notch filter 2007 1
5OTHbscQ7544 BSC Filters XN4456 oth: Notch filter 2007 1
5OTHcanQ7353 Canon 850670H-0312 Other: LABVIEWUPGRADE 2000 1
5OTHcatQ7420 CATC Advisor Oth: USB 2.0 Bus & Protocol Analyzer Inq. 1
5OTHcbcQ8667 CBC VM-10A-M other: Viscometer Inq. 1
5OTHchoQ6509 Cho-onpa UJ-246-1C other: Wire pulltester 1991 1
5OTHchrA10535 ChromTech JA-5103N OTH:Electronic Balance 1997 1
5OTHcomQ7386 COMPUTEX 32M41 Oth: In-circuit debugger Inq. 1
5OTHdagC11018 DAGE 2400A OTH:WIRE PULL TEST MACHINE 2006 1
5OTHdagM11701 DAGE 3000 oth: Wire pull Tester Inq. 1
5OTHdagS4576 DAGE BT-24 Other: Bond Tester 1994 1
5OTHdagE12518 Dage/Nordson SERIES 4000 Other: Bond tester 2009 1
5OTHdatA1714 Data Systems ALP-7012LA Other: LD DRIVER Inq. 1
5OTHditK6336 Ditect RDF-D3 Other: Hi-speed camera 2010 1
5OTHecoQ11274 Ecomott T[ChPro@VerP OTH:Body temperature screening solution Thermoroid pro 2020 20
5OTHespE11289 ESPEC TSA-71H-W OTH:Thermal Shock Testing 2008 1
5OTHespE11290 ESPEC TSA-201S-W OTH:Thermal Shock Testing 2006 1
5OTHespE7102 Espec TSA-71H-W other: Thermal shock tester 2001 1
5OTHespL12812 ESPEC TSA-70L-A other: Thermal Shock Chamber Inq. 1
5OTHespC537 ESPEC TSB-2 Other: Thermal Shock Chamber 1999 1
5OTHetaR5462 ETAC NT2031W Other: Temperature Cycle Chamber Inq. 1
5OTHeveP849 Everfine KF-2 Other: Switching Transistor Selector Inq. 1
5OTHeveP850 Everfine KF-2 Other: Switching Transistor Selector Inq. 1
5OTHftdF12338 FTD 0.8*0.8 TR test socket and socket board other: PCB Components and Test Station Socket Inq. 1
5OTHfujQ9489 fujita APE-PWIGBT OTH:Bias tester 2010 1
5OTHfujQ7342 Fujita FTH-100 other: Tharmalfet tester 2000 1
5OTHfukL414 FUKUDA MSX-5086 Other: Leak Detector Inq. 1
5OTHgiaA929 Giant Force Instrument Enterprise Inq. Other: High / low temperature test equipment 2012 1
5OTHhacK9970 HACH 2100P OTH:Turbidimeter inq 1
5OTHhayK6048 Hayashi-Repic LAA-150UE other: Light Source Inq. 1
5OTHhayK6047 Hayashi-Repic Luminar Ace other: Light Source Inq. 1
5OTHhilV3936 HILA CHT-6A Other: Thermometer Inq. 1
5OTHhioQ7401 Hioki 3127 Other: Clamp on tester Inq. 1
5OTHhioQ10400 HIOKI 3158 OTH:Voltage HiTester 2007/2010/2013/2015 5
5OTHhioQ7501 Hioki 3805 other: High Tester 2005 1
5OTHhioQ9687 HIOKI LR8431 OTH:Memory High Logger inq. 1
5OTHhirQ6508 Hirayama PC-204RV other: Pressurecooker 1990 1
5OTHhitQ9488 Hitachi EC-45MHPS OTH:temperature and humidity Testing Chamber 2010 1
5OTHhitQ6481 Hitachi ES-76LH other: Thermal shock tester 2007 1
5OTHhitP4814 Hitachi I-20 MI-SCOPE-120 Other: Ultrasonic scan analyzer Inq. 1
5OTHhitE9405 Hitachi Power Solutions mi-scopeMI-25 OTH:Ultrasonic imaging equipment 1997 1
5OTHhumL875 Humo A-QB-210 Other: Blank Frequency Sorter 2004 1
5OTHimaE11059 IMADA SVF-500NA-SL OTH:Tensile and Compression Testing 2004 1
5OTHinfA11512 INFICON UL1000 other: 4Helium Leak Detector/4in Inq. 1
5OTHinqL11107 inq. EL140 OTH:EL Tester inq. 1
5OTHinqA924 Inq. Inq. Other: RPT 2013 1
5OTHinqA930 Inq. Inq. Other: Cleaning device 2010 1
5OTHinqA931 Inq. Inq. Other: Storage facility 2013 1
5OTHinqK6044 Inq. Inq. other: Depth Gauge Inq. 1
5OTHinqS970 Inq. Inq. Other: Performance Board 1991 1
5OTHinqA922 Inq. JTXBJ-075 Other: Inq. 2010 1
5OTHinqQ155 Inq. LTA-330A Other: Wafer Lifetime Measuring/5in. Inq. 1
5OTHisoL447 Isothermal VENUS 125B Other: Thermometer Calibrator Inq. 1
5OTHiteQ9490 iTEC AP-20-3S-OP/AP-20-3S-BT OTH:OP tester 2004 2
5OTHjadV3938 JADEVER LPWN-1530 Other: Elec. Balance For Analysis Inq. 1
5OTHjtkF12329 JTK JTK-DIG32 other: Leakage tester 2015 1
5OTHjtkF12330 JTK JTK-DIG32 other: Leakage tester 2016 1
5OTHjtkF12331 JTK JTK-DIG32 other: Leakage tester 2017 1
5OTHjtkF12332 JTK JTK-DIG32 other: Leakage tester 2017 1
5OTHjtkF12333 JTK JTK-DIG32 other: Leakage tester 2018 1
5OTHjtkF12334 JTK JTK-DIG32 other: Leakage tester 2016 1
5OTHjtkF12335 JTK JTK-DIG32 other: Leakage tester 2016 1
5OTHkeyE10774 Keyence LC-2101 OTH:laser displacement meter inq 1
5OTHkeyE10775 Keyence LC-2210 OTH:laser displacement meter inq 1
5OTHkohQ10897 Kohzu K2-200 OTH:Flatness measuring inq. 1
5OTHkokK11685 Kokusai electric CX1204 oth: Exhaust Controller 1994 1
5OTHkurQ8282 Kurashiki kako MICRO- Other: surface plate 1991 1
5OTHly-L570 LY-MI Inq. Other: Blank Sorter 2007 1
5OTHly-L571 LY-MI Inq. Other: Blank Sorter 2008 1
5OTHmatC909 Matsuzawa MMT-X7A Other: Hardness Tester Inq. 1
5OTHmauQ7505 MAURY MICROWAVE 1819B Oth: Stub tuner 2005 1
5OTHmauQ7395 MAURY MICROWAVE 8045C Oth: Slide screw tuner Inq. 2
5OTHmeiW981 MEISHO MS-9000GTIR Other: BGA Rework Station 2011 1
5OTHmicE7100 Michinokumachinery Inq. Other: strength tester 2008 1
5OTHmicQ7524 Micronix MMD850 oth: Microwave detector 2005 1
5OTHmicQ10038 Microtrac MT3100II/SDC OTH:Particle size distribution analyzer 2016 1
5OTHminQ7399 MINI-CIRCUITS ZHL-4240 Oth: High frequency amplifier Inq. 1
5OTHmisQ10787 MISUMI MSND2.3-30 OTH:Temperature Sensor inq 1
5OTHmisQ10790 MISUMI MSND2.3-30 OTH:Temperature Sensor inq 1
5OTHmitE10777 Mitutoyo CD-45C OTH:vernier calipers inq 1
5OTHmitR172 MITUTOYO ID-C112C Other: Digimatic Indicator Inq. 1
5OTHmitL497 Mitutoyo Inq. Other: Cage Block Inq. 1
5OTHmitM9533 MITUTOYO inq. OTH:CNC VISION MEASURING SYSTEM inq. 1
5OTHmitQ12053 Mitutoyo LEGEX574 Other: CNC Image measurement /3D 2007 1
5OTHmitL878 Mitutoyo PJ300@302-926 Other: Projector Inq. 1
5OTHmolA12614 Molecular Analytics 2427052-8 other: Multiopoint Sampling System Inq. 1
5OTHmt/Q7356 MT/Hitachi-hitech Inq. Other: EEPROM meas. board 2010 1
5OTHmulF12361 Multitest BGA1.6*1.6 test kit Other: Kit Inq. 1
5OTHmulF12347 Multitest TEST KIT for 0.8*0.8 Other: Kit Inq. 1
5OTHmurQ9884 Murakamishikisaigijutsu HM-150 OTH:haze meter inq 2
5OTHnarQ7500 NARDA 3752 Oth: PHASE SHIFTER 2005 1
5OTHnfQ7499 NF 1650 Oth: Pattarn synthesizer 1994 1
5OTHnfQ7382 NF CK1620 Oth: Clock synthesizer Inq. 2
5OTHnfQ7424 NF CK1615 Oth: Clock synthesizer Inq. 2
5OTHnfQ7393 NF ES2000B Oth: Power environment simulator Inq. 1
5OTHnfQ7394 NF ES2000S Oth: Power environment simulator Inq. 1
5OTHnihL471 Nihon Koshuha RW-1000E Other: High-freq. Induction Heating Inq. 2
5OTHnikL779 Nikon 6D Other: Autocollimator Inq. 2
5OTHnikK10431 Nikon V-12 OTH:projector 1985 1
5OTHnikN8278 Nikon V-128 other: Profile projector Inq. 1
5OTHnikT982 Nikon V-12 Other: Projector 1987 1
5OTHnikP12630 Nikon VMR-H3030 Other: CNC measurement 2008 1
5OTHnikM11704 Nikon VMZ-R3020 Other: CNC Image measurement /QV Inq. 1
5OTHnikM12856 Nikon Metrology VMZ-K6555 Other: Confocal Video Measuring System Inq. 1
5OTHnorF10933 Nordson DAGE4000 OTH:BOND TESTER inq. 2
5OTHnskP6424 NSK HM-90 other: Micron Depth Tester Inq. 1
5OTHogaQ9442 Ogasawara Precision GRT-04 OTH:Gear Meshing Tester inq. 1
5OTHohaQ8488 OHAUS EX2202G oth: Electronic scale Inq. 2
5OTHorsQ10044 ORSA AOS303-445-30 OTH:Single-mode fiber blue light source 2016 1
5OTHorsQ10045 ORSA HPB455-3 OTH:Multimode Fiber Blue Light Source 2016 1
5OTHotsQ10039 Otsuka QE2100 OTH:Quantum Effect Measurement System 2016 1
5OTHotsN8279 Otsuka RETS-1100ML other: GAP measuring machine Inq. 1
5OTHoyoE10059 OYO AITOS OTH:Light Source inq 3
5OTHoyoE10078 OYO AW1050 OTH:light source inq 1
5OTHoyoK6195 OYO AW1050 other: Light Source Inq. 1
5OTHpanB8176 Panasonic Panadac944A-210 Other: Coplanarity check system Inq. 1
5OTHpeaL778 Pearl AC-140F Other: Autocollimator Inq. 1
5OTHpeiL11110 Peide EL-1.4MD-AS OTH:EL Tester inq. 1
5OTHphiA581 Philips IR3100 Other: Wafer Infrared Depth Measuring Instrument/12in. Inq. 2
5OTHproQ7365 Production Technology Center Kyushu Inq. Other: Substrate strength tester 2008 1
5OTHproQ9540 PROTEC MTG-08A OTH:Metal mask tension gauge inq. 1
5OTHquiL11111 Quicksun 820A OTH:Power Simulation Test inq. 1
5OTHr&kP1311 R&K AA160-RS Other: RF Power Amplifier Inq. 16
5OTHr2dM12842 R2D Automation 2LP other: Comet sorter/150-200mm Inq. 1
5OTHrheE9815 RHESCA PTR-10 OTH:Bond tester 1996 1
5OTHrheL12805 Rhesca PTR-1000 Other: Bonding tester Inq. 1
5OTHrheQ12072 Rhesca PTR-1000 oth: Bonding tester 2001 1
5OTHrobE5006 ROBERT RO-27 Other: Aging machine 2001 3
5OTHrohQ7398 Rohde & Schwarz Inq. Oth: Radio tester Inq. 1
5OTHsanL874 Sanseidenshi ABS-28GF Other: Blank Frequency Sorter Inq. 1
5OTHsanL872 Sanseidenshi AT-24AS Other: Frequency Sorter Inq. 1
5OTHsanL873 Sanseidenshi AT-24AS Other: Frequency Sorter Inq. 1
5OTHsanR340 SANWA Inq. Other: TDDB Probe Station 1996 1
5OTHsanQ10742 SANYU SC-701C Other: Carbon Coater Inq. 1
5OTHsanL416 Sansei SDC-1040 Other: Digital comparator Inq. 5
5OTHsanL477 Sansei SS-868E Other: Universal Crossing Counter Inq. 3
5OTHsarK9969 Sartorius CP224S OTH:electronic balance inq 1
5OTHsemQ12788 Semiconductor Diagnostics Inc FAaST 230-SPV other: SPV measuring 2008 1
5OTHsemR338 SEMILAB WT-85 Other: Life time measuring Inq. 1
5OTHshiQ12823 SHIMADZU AUY200 other: Analytical Balance Inq. 1
5OTHshiE7281 Shimazu EHF-FB10KN-10LA Other: Servo Parsa 2000 1
5OTHshiK1419 Shimadzu MSE-4000 Other: Leak Detector/He 1998 1
5OTHshiA5427 SHIMADZU MST-I other: Micro Autograph Inq. 1
5OTHshiQ10756 SHIMADZU SMX-1000 Other: X-Ray system Inq. 1
5OTHshiE10780 SHINDAIGO UV225 OTH:vacuum packaging machine inq 1
5OTHsigQ10043 SIGMA KOKI inq OTH:Auto-rotating stages and controllers 2021 1
5OTHsigQ9959 Sigma Koki SGSP20-20+SHOT202 OTH:Autostage+ stage controller inq 3
5OTHsonQ7531 SONY Inq. oth: DD digital photo camera 1994 1
5OTHta V3566 TA Instruments DSC-Q20 Other: Differential Scanning Calorimeter Inq. 1
5OTHta V3567 TA Instruments DSC-Q10 Other: Differential Scanning Calorimeter Inq. 1
5OTHtakL437 Takeda TR4120 Other: Tracking Scope Inq. 1
5OTHtdkW890 TDK GEN8-400-D Other: Programmable Power Supplies/DC Inq. 2
5OTHtekR3955 Teknologue ER8940A Other: Tester Inq. 1
5OTHtekP12637 TEKSCAN I-Scan System other: Pressure Mapping System 2016 1
5OTHtekQ7352 Tektronix STC399X-99158-7 Other: GPIB controller 2000 1
5OTHtheA12137 Thermo Fisher DX600 Other: Metrology system 2002 1
5OTHtopV3945 TOP 20-A Other: Thermometer Inq. 3
5OTHtroA10317 Tropel FM200 OTH:Flatness measuring inq 1
5OTHtskQ5883 TSK EM-21 other: Tester 2000 1
5OTHulvL492 ULVAC GI-PRAY Other: Vacuum Meter Inq. 1
5OTHxyzP10206 XYZTEC CONDOR 70 OTH:Wire pull Tester inq 1
5OTHxyzP10207 XYZTEC CONDOR 100 OTH:Wire pull Tester inq 1
5OTHyokQ7407 Yokogawa 4177-100-11 Oth: R100 RBI recorder Inq. 1
5OTHyokQ7421 Yokogawa AX220 Oth: SD card protocol analyzer Inq. 1
5OTHyokP2084 Yokogawa CM500 Other: Gas monitor Inq. 1
5OTHyokA12598 YOKOGAWA UR100 other: Chart Recorder Inq. 1
5OTHyscV3925 YSC HTC-2 Other: Thermometer Inq. 4
5OTHz.cG12092 Z.C. AUTO TC-485 other: Ceramic disc appearance inspection Inq. 1
5OTHzyvP2733 Zyves KZ100 Other: NANO@probe Inq. 1
5POWkikQ7345 Kikusui PMC35-2A Power Supply: DC 1996 4
5POWmiyQ7340 Miyake PAB70-1A Power Supply: DC 2014 4
5POWmiyQ7337 Miyake PMC110-0.6A/TPO650-1/PAD1K-02L Power Supply: DC 2014 11
5POWmiyQ7339 Miyake PMC110-0.6A Power Supply: DC 2014 2
5POWmiyQ7338 Miyake TBS Power Supply: DC 2014 3
5POWnecQ7369 NEC PR20-250 Power Supply: DC 2006 2
5SEMfeiP11765 FEI DB235 sem: Focused Ion Beam System Inq. 2
5SEMfeiP11766 FEI FIB 800 sem: Focused Ion Beam System Inq. 1
5SEMfeiP5361 FEI V460L SEM (Scanning Electron Microscope) 2016 1
5SEMfeiA9993 FEI Verios 460L SEM/Scanning Electron Microscope 2016 1
5SEMhitA10918 Hitachi S-2500C SEM inq. 1
5SEMhitE9402 Hitachi S-2300 SEM:Scanning electron microscope 1988 1
5SEMhitQ9957 Hitachi S-2600N SEM/Scanning electron microscope 2003 1
5SEMhitQ8841 HITACHI S-3000H SEM 2003 1
5SEMhitA12656 HITACHI S-4500 SEM/5in Inq. 1
5SEMhitF12827 Hitachi S-5200 SEM/FE-SEM Inq. 1
5SEMjoeA4594 JOEL JEM-2100F sem: TEM 2016 1
5SEMjoeP6805 JOEL JEM-2100F sem: TEM 2018 1
5SEMjoeP6806 JOEL JEM-2100F sem: TEM 2010 1
5SEMtopH5209 TOPCON CORP. SM-200 SEM (Scanning Electron Microscope) 2001 1
6ALIcanA12649 Canon MPA-500FAB Aligner/5in Inq. 4
6ALIcanA12650 Canon MPA-600FA Aligner/5in Inq. 1
6ALIcanA8294 Canon PLA501FA Aligner/5in. Inq. 3
6ALIcanK11060 Canon PLA-500F Aligner:Mask/4in 1979 1
6ALIcanK11061 Canon PLA-501 Aligner:Mask/4in 1981 1
6ALIcanQ6470 Canon PLA-501F Aligner 1990 1
6ALIdnkA9881 DNK MA4201 Aligner@ 2010-2011 2
6ALIevgA8953 EVG EV620 Aligner/Mask/6in. Inq. 1
6ALIevg8794 EVG EVG620 Aligner/4in. Inq. 1
6ALIk &H5208 K & W (KARL SUSS) MA-1006 Aligner: Mask 2002 1
6ALIquiA10749 Quintel Q4000-4 Aligner Inq. 1
6ALIquiA11163 Quintel Q4000-4TL Aligner/4in inq. 1
6ALIquiP11750 Quintel Q4000-4 Aligner: Exposurer Inq. 1
6ALIsusG10180 SUSS MA100E Aligner:Automatic MASK(2/3/4/6in) 2014 1
6ALIsusG12731 SUSS MA150E Aligner/Mask Inq. 1
6ALIsusP12756 SUSS MA200CC Aligner/Mask Inq. 3
6ALIsusA8414 SUSS MA-100E Aligner Inq. 2
6ALIsusG10181 SUSS MJB4 Aligner:Manual MASK/4in 2011 1
6ALIwesQ9539 WESTECH MRV-U-VAS Aligner inq. 1
6ASHhitA12603 HITACHI UA3150A Asher: U/V OZONE Inq. 1
6ASHhitA12604 HITACHI UA3150A Asher: U/V OZONE Inq. 1
6ASHhitA12605 HITACHI UA3150A Asher: (UV&O3)without Wafer Inq. 1
6ASHhitL9610 HITACHI UA3150 Asher/Stripper inq. 1
6ASHkokK12273 KOKUSAI RAM61000 Asher 1996 2
6ASHplaQ11482 Plasma systems DES-206 Asher 1984 1
6ASHpskH11466 PSK PSC354 ESA407 Asher Inq. 1
6ASHsamA10755 Samco PC-300 Ash: Stripper Inq. 1
6CLE45iG12725 45inst CXS-2150E cle: Inq. 2017 2
6CLE45iG12726 45inst SFQZ-404HLSX cle: Inq. 2014 1
6CLEamiA12610 AMI SC-8900 Cleaner: Boxes&Cassette Inq. 1
6CLEassA11514 ASSI NA CLE:Spin Rinse Dryer/4in Inq. 1
6CLEassA11532 ASSI SH-801 Clean: Spin Dryer 2012 1
6CLEcanQ5567 Canon MC-2 Cle: Mound crusher 1988 1
6CLEdalE12005 DALTON Inq. Cleaning draft: SPM Inq. 1
6CLEeteE12006 ETE ETD-62DF Clean: Spin Dryer 1991 1
6CLEfujK10201 FUJI ADVANCED Corp FA-7SR CLE:spin dryer inq 1
6CLEhoeA8574 Hoe ie HIME8048 Cleaner/Parts Inq. 1
6CLElinA12608 Lintech M2220-46 Cleaning system: IPA CARRIER Inq. 1
6CLEmetA12609 Metron HTC-8010 Cleaner: Boxes&Cassette Inq. 1
6CLEmimK12446 Mimasu MSE-2000FC Cleaner: SC1 2001 1
6CLEmtkA9808 MTK CL61D102 Cleaning equipment/4-6in 2015 1
6CLEnanG6165 NANXUANKEJI Inq. Clean tool Inq. 1
6CLEsemA12670 SEMITOOL 870S Clean: Spin Dryer/5in Inq. 4
6CLEsemA12671 SEMITOOL PSC-101 Clean: Spin Dryer/5in Inq. 1
6CLEsonA8681 Songjaan Inq. Cleaner:Wafer/6in. 2014 1
6CMPdisA5554 Disco DFG-83H/6 CMP: Grinder/5in. 1988 1
6CMPlogW10203 Logitech LP70(1WBS7) CMP 2019 1
6COAcanK10316 Canon CDS-630 COA:developer 1998 1
6COAdaiA5547 DAITRON TECHNOLOGY Custom order Coater/5in. Inq. 1
6COAdnsA12846 DNS SCW-636-CV Coater(3c)/6in Inq. 1
6COAdnsA12847 DNS SDW-636-CV coa: Developer(3d)/6in Inq. 1
6COAdnsA12653 DNS SKW-636-BV Coater/Developer/5in Inq. 14
6COAm.sK12109 M.SETEK MS-132 Coater: Spin/SOG 1993 1
6COAsusK11452 SUSS MicroTec GAMMA 4M Coater/Developer for 6in/Si 2011 1
6COAsvgA12655 SVG 860 coa: Developer/5in Inq. 1
6COAsvsA10750 SVS MSX1000 Coater/Developer Inq. 7
6COAsvsG12716 SVS MSX-1000 Coater/Developer Inq. 5
6COAtelA8512 TEL CLEAN TRACK Coat: wafer edge exclusion track/6in 1995 1
6COAtelK12276 TEL Mark Vz coa: Developer Inq. 2
6COAtokA12654 Tok ASP-LEiNj Coater/5in Inq. 2
6CVDaixP11745 Aixtron 2000/2400HT CVD: MOCVD Inq. 1
6CVDaixD6392 AIXTRON 2400G3 CVD: MOCVD Inq. 1
6CVDaixD6394 AIXTRON 2400G3HT CVD: MOCVD Inq. 1
6CVDaixD6396 AIXTRON 2400G3 CVD: MOCVD Inq. 1
6CVDaixP11744 Aixtron 2400G3 HT CVD: MOCVD Inq. 1
6CVDaixA11983 Aixtron 2600G3 CVD: MOCVD(GaAs)/2in. Inq. 1
6CVDaixA12051 Aixtron 2600G3 CVD: MOCVD/4in. 2001 1
6CVDaixA12052 Aixtron 2600G3 CVD: MOCVD/4in. 2003 1
6CVDaixA12833 Aixtron 2600G3 CVD: MOCVD/4in. 2007 1
6CVDaixD6395 AIXTRON 2600G3 CVD: MOCVD Inq. 1
6CVDaixA12012 Aixtron 2800G4 CVD: MOCVD/4in. 2007 1
6CVDaixA12107 Aixtron 2800G4 CVD: MOCVD 2008 1
6CVDaixA12730 Aixtron AIX2800G4 CVD: MOCVD/6in 2008 1
6CVDaixG11439 AIXTRON CRIUS II X-L CVD: MOCVD/4in. Inq. 24
6CVDaixG11803 AIXTRON CriusII CVD: MOCVD Inq. 3
6CVDaixG11804 AIXTRON R6 CVD: MOCVD Inq. 9
6CVDaixG11802 AIXTRON SAM CVD: MOCVD Inq. 2
6CVDaixA10744 Aixtron TS Crius CVD: MOCVD/2in. 2007 1
6CVDaixH8302 AIXTRON AG VP508GFR Hot-Wall CVD Reactor CVD: MOCVD 2011 1
6CVDaixH8303 AIXTRON AG VP508GFR Hot-Wall CVD Second Cell CVD: MOCVD 2013 1
6CVDamaK12291 AMAYA AEC2250SP-296 CVD: APCVD Inq. 1
6CVDamaK11740 AMAYA AMAX200 CVD: APCVD 1998 1
6CVDasmA5546 ASM PE-CVD PXJ-100LH CVD: PECVD/5in. Inq. 1
6CVDbeiA921 Beijing Beiyi Innovation Vacuum Technology Inq. CVD/Plasma 2012 1
6CVDemcD6393 EMCORE E400 CVD: MOCVD Inq. 1
6CVDkeK11173 KE DJ-825V-6L CVD inq. 1
6CVDnipA10545 NIPPON SANSO CORPORATION BMC-311 CVD:MOCVD/4in inq 1
6CVDoxfA12095 OXFORD Plasmalab 800Plus CVD: PECVD/4in Inq. 1
6CVDsamA7863 SAMCO PD-3800 CVD: PECVD Inq. 1
6CVDsamA7864 SAMCO PD-4800 CVD: PECVD Inq. 1
6CVDtokK11172 Tokyo Electron MB2-730 BLK-W CVD:MB2 inq. 1
6CVDveeD6397 VEECO D300GaN CVD: MOCVD Inq. 1
6CVDveeP11742 Veeco E300 CVD: MOCVD Inq. 3
6CVDveeA12874 Veeco K465i CVD: MOCVD/4in. Inq. 3
6CVDveeA3133 Veeco K465 CVD: MOCVD 2008 1
6CVDveeP11743 Veeco K465 CVD: MOCVD Inq. 2
6CVDwatG12729 Watkins-Johnson WJ1000T CVD: APCVD/6in Inq. 1
6CVDwatA11031 Watkins Johnson WJ999R CVD:APCVD/6in inq. 1
6DRYcorA8415 Corial 300IL Dry: ICP Inq. 3
6DRYcorG10073 Corial Corial 300IL DRY:ICP Etcher inq 2
6DRYhitG11117 Hitachi M308 Dry Etch/6in inq. 1
6DRYhitK11175 Hitachi M318 DRY:Gate etch inq. 1
6DRYhitA12664 Hitachi M-308A Dry etcher/5in Inq. 3
6DRYlamA12665 LAM 490 Dry etcher/5in Inq. 2
6DRYlamA8513 Lam 490 dry: Etcher/6in. 1995 1
6DRYlamA12666 LAM 590 Dry etcher/5in Inq. 1
6DRYlamH11470 LAM NITRIDE AUTOETCH490 Dry Etcher Inq. 1
6DRYmimK12447 Mimasu MSE-2000F2 dry: Si etcher 2001 1
6DRYnmcG12719 NMC(North Microelectronics) ELEDE 380 Dry: ICP Etcher 2018 8
6DRYpanP11751 Panasonic E600L NM-EFE2AA Dry Etcher Inq. 1
6DRYplaL10902 Plasma Sciences RIE-600W DRY:REACTIVE ION ETCHER 1995 1
6DRYpskH11467 PSK PSC354 ESA405 Dry Etcher Inq. 1
6DRYqinG6164 Qingdao Jing Cheng Inq. Dry : Eching machine Inq. 1
6DRYsamA7865 SAMCO RIE-200IPC Dry: ICP Etcher Inq. 1
6DRYsamD6398 SAMCO RIE-200ip Dry etcher Inq. 1
6DRYsamQ11391 Samco RIE-10N Dry etching:RIE equipment inq. 1
6DRYshiQ11483 Shibaura CDE-7-4 Dry Etching: CDE 1994 1
6DRYstsA8520 STS Multiple Dry: ICP Inq. 1
6DRYtegG10104 TEGAL 6000 DRY:Plasma etcher inq 1
6DRYtegG10103 TEGAL 901e DRY:Plasma etcher inq 7
6DRYtelH11468 TEL TE_5000S ETO408 Dry Etcher Inq. 1
6DRYtelH11469 TEL TE_5000S ETO409 Dry Etcher Inq. 1
6DRYtelA12662 TEL TE-8400PE Dry etcher/5in Inq. 1
6DRYtelA12663 TEL TE-5000 Dry etcher/5in Inq. 1
6DRYtokK9622 TOKYO OHKA KOGYO OAPM-SH406 Dry-etcher/6in 1988 1
6DRYtokK12274 Tok TCE2400 Dry etcher Inq. 1
6DRYtokK12275 Tok TCE3822 Dry etcher Inq. 1
6DRYtokA12601 TOK TSE-306W dry: TiN etcher Inq. 1
6DRYtokA12602 TOK TSE-306W dry: TiN etcher Inq. 1
6DRYtokK11170 Tokyo Electron U2e-655II DRY:UNITY-DI inq. 1
6DRYyokK11171 Yokogawa 4720 DRY:Rainbow inq. 1
6EPIamaH11465 AMAT AMC-7810 EPI Reactors Inq. 1
6EPIcdsA7035 CDS Epitaxy EpiPro 5000 EPI: Epitaxy Reactors/6in Inq. 1
6EPIgemA9472 GEMINI 3E EPI reactor/4"-6" inq. 3
6EPIlamH11471 LAM GEMINI2 EPI Reactors Inq. 1
6FURbtu8795 BTU Inq. Furnace/4in Inq. 2
6FURb-wG6163 B-Well SV-250 Furnace: vacuum Inq. 1
6FURhi-E12007 Hi-Tech Furnace H4-7074 Furnace: Horizontal oxidation 2002 1
6FURkeK11174 KE DD-825V-6L Furnace inq. 1
6FURkokK12707 KOKUSAI CX1000 fur: Controller for DD802V 1991 1
6FURkoyA5550 KOYO SC9-1000-3 Furnace/5in. Inq. 1
6FURsjA8511 SJ KL-120-20DD Furnace/6in 2009 1
6FURsjG6166 SJ Lindberg A1200-2 Furnace Inq. 1
6FURtelA9800 TEL 615 FUR:LPCVD/6in inq. 1
6FURtelK11739 TEL IW-6D Furnace(O2/N2) 1992 1
6FURtheA12668 Thermco TMX-9000 Furnace: Annealing/5in Inq. 1
6FUR{_A10521 {_ USC-6iSi3N4j Furnace inq 1
6FUR{_A10522 {_ USC-6iSIPOSj Furnace inq 1
6IONaxcA11699 Axcelis for GSD implanter ion: Disk/5in. Inq. 3
6IONnisA12648 Nissin NH-20SR Ion: Implanter(M/C)/5in Inq. 2
6IONtelQ12176 TEL-varian 350D Ion implanter 1990 1
6IONulvG11115 Ulvac IDZ8001 ION:Implanter/6in inq. 1
6IONulvK11741 Ulvac IDZ8000 Ion implant 1993 1
6IONulvG12389 Ulvac IH-860DSIC Ion implanter 2013 1
6IONvarA12644 Varian 120XP Ion: Implanter(H/C)/5in Inq. 1
6IONvarA12645 Varian 120/10 Ion: Implanter(H/C)/5in Inq. 2
6IONvarA12647 Varian 200-CF5 Ion: Implanter(M/C)/5in Inq. 1
6IONvarA10829 Varian 300Xp Ion:Implanter/6in Inq 1
6IONvarA7621 Varian 350D Ion implant /5in Inq. 1
6IONvarA9384 Varian 350D ION:Implanter/5in inq. 1
6IONvarG11116 Varian 350D ION:Implanter/6in inq. 1
6IONvarL10901 Varian 350D Ion Implanter inq. 1
6IONvarA12646 Varian 80/10 Ion: Implanter(H/C)/5in Inq. 2
6IONvarQ12177 Varian 80XP Ion implanter 1988 1
6IONvarK12271 Varian E220 Ion implanter 1995 1
6OTHdelA12593 DELATECH I CDO 858IV-2 C/W oth: Scrubber Inq. 1
6OTHdnsK12382 DNS SS-W60A-AVR oth: Wafer Scrubber 1995-1996 2
6OTHdnsP12035 DNS SSW-629_B other: Wafer scrubber Inq. 1
6OTHecoA11151 ECOSYS ECOSYS/Vector OTH:Scrubber Inq. 1
6OTHedwA11152 EDWARDS EDWARDS/GRCD OTH:Scrubber Inq. 1
6OTHipiA11150 IPI IPI/ESC OTH:Scrubber Inq. 1
6OTHkanA11149 KANKEN KT/F5 OTH:Scrubber Inq. 1
6OTHlumA12657 Lumonics WAFERMARK 345 other: Wafer marker/5in Inq. 1
6OTHuicA11153 UIC UIC/UIC30 OTH:Scrubber Inq. 1
6OTHultA1818 Ultron UH-101C Other: Curing/UV irradiation Inq. 1
6OTHuniA12594 UNISEM UN 2000A-FS oth: Scrubber Inq. 1
6OTHushA10919 USHIO UMA-802-HC551MU OTH:UV curing/6in inq. 1
6OTHushA12658 USHIO UMA-802-H6 other: Exposure: UV/5in Inq. 1
6OTHushQ10890 USHIO UMA-1002-HC82TT OTH:UV Cure 1992 1
6PVDaneA1719 Anelva ILC-1012 PVD: Sputter Inq. 2
6PVDaneA5545 ANELVA ILC-1012 PVD: Sputter/5in. Inq. 1
6PVDaneA5560 Anelva ILC-1012 PVD: Sputter/5in. Inq. 1
6PVDastQ9708 ASTEC BH-10RV-02 PVD:automatcher inq. 1
6PVDastA10753 AST Peva-600E pvd: Evaporator Inq. 2
6PVDastH10888 AST PEVA-600I PVD:Evaporator 2018 1
6PVDastP11748 AST Peva-600E pvd: Evaporator Inq. 1
6PVDastP11749 AST Peva-600T pvd: Evaporator Inq. 1
6PVDbctA10754 BCT E600 pvd: Evaporator Inq. 1
6PVDcanA6254 CANON ANELVA ANELVA1051 PVD: Sputter Inq. 1
6PVDcanA11032 CANON ANELVA ILC-1051 PVD:Sputter/6in inq. 1
6PVDfseA10751 FSE FU-16PEB pvd: Evaporator Inq. 1
6PVDfseA11768 FSE FU-12CE pvd: Evaporator/4in 2005 1
6PVDfseA11769 FSE FU-12CE pvd: Evaporator/4in 2005 1
6PVDfseA11770 FSE FU-16CE pvd: Evaporator/4in 2005 1
6PVDfseA12429 FSE FU-20PEB-RH-1200 pvd: Evaporator/4in 2007 1
6PVDfseA8052 FSE FU-20PEB-1300 pvd: Evaporator 2018 2
6PVDfseP11746 FSE FU-16PEB pvd: Evaporator Inq. 1
6PVDfseA10752 FSE VTI-16C pvd: E-Beam Evaporator System Inq. 1
6PVDfseP11747 FSE VTI-16C pvd: Evaporator Inq. 1
6PVDfu G6167 FU LIN TECH FU-16PEB-ITO PVD: Evaporator 2010 1
6PVDinqQ9707 inq. TM06ASI10 PVD:sputter gun/6in inq. 1
6PVDmatH11474 Materials Research(MRC) METAL ECL360 SP01 pvd: Sputter Inq. 1
6PVDoptA12094 OPTORUN OTFG-1000C pvd: Sputter/4in. 2012 1
6PVDsciQ9680 SCIENCE PLUS inq. PVD:SPUTTER/6in inq. 1
6PVDshiA5548 SHINKO SEIKI AAMF-C2280SPB PVD: Sputter/5in. Inq. 1
6PVDteaH10887 TEAMS CORPORATION ITO Sputter PVD:Sputter 2018 1
6PVDulvA11698 Ulvac for SIH-450 Sputter pvd: NiO Target/4in. Inq. 1
6PVDulvP1995 ULVAC SRH820 PVD: Sputter 2006 3
6PVDulvA1720 ULVAC SV-9040-T14 PVD: Sputter Inq. 1
6RTPsunA5553 Sunred SRD-TH-091127 RTP/6in. 2010 1
6STEasmA6238 ASM@ WS896 @ ste: Wafer Stepper Inq. 2
6STEcanA12862 Canon FPA2000i1 Stepper/6in Inq. 1
6STEcanA5555 Canon FPA-1550MK-IV Stepper/6in. 1991 1
6STEcanA5556 Canon FPA-1550MK-IV Stepper/6in. 1992 1
6STEcanA5557 Canon FPA-1550MK-IV Stepper/6in. 1993 1
6STEnikF12832 Nikon i10 Stepper Inq. 3
6STEnikF12830 Nikon i8 Stepper 1994 8
6STEnikF12831 Nikon i9 Stepper Inq. 7
6STEnikA11029 Nikon NSR-1755i7 Stepper/6in inq. 1
6STEnikA12651 Nikon NSR1505G3A Stepper/5in Inq. 11
6STEnikA12652 Nikon NSR-1505G4D Stepper/5in Inq. 3
6STEnikG10107 NIKON NSR 1505G4D Stepper inq 2
6STEnikG11783 Nikon NSR-1755i7 Stepper inq. 1
6STEnikK12272 Nikon NSR-2205EX12B Stepper/KrF Inq. 1
6STEultG10179 Ultratech sapphire 100 Stepper inq 1
6STEultA11894 Ultratech UT1500 Stepper/4in. Inq. 1
6WETmacQ10896 Macoho W8MN-P052(}`TtF[XJr.) WET blast processing cell equipment 2015 1
6WETsemA8954 Semitool Equinox Wet: GaAs Etch/6in. Inq. 1
6WETsemA12863 SEMSYSCO Triton Si-Etch Toolsi3F3Cj Wet etch/6in Inq. 1
6WETsemG10108 SEMI TOOL WSST-608AG Wet cleaning inq 2
6WETtelA12600 TEL TE5000ATC Wet Oxide Etcher Inq. 1
7CELkoyW1558 Koyo 47-MT-14962-13MC-36 Cell: Mesh Belt Type Continuous Furnace 2007 1 Solar cell(Firing of Al / Ag electrode)
7CELschA1254 Schmid AC-TEX1500 Cell: Acid Texturing Inq. 1
7CELschA1259 Schmid BU1500/5/3 Cell: Buffer Inq. 1
7CELschA1262 Schmid BU400 Cell: Buffer Inq. 1
7CELschA1265 Schmid C-SORT3000L Cell Sorter Inq. 1
7CELschA1252 Schmid LCL 1500 Cell: Wafer Insp. And loading Inq. 1
7CELschA1263 Schmid LTM1500 Cell: Laser Edge lsolation Inq. 1
7CELschA1256 Schmid P-DOP Cell: Phosphor-Doper Inq. 1
7CELschA1257 Schmid P-Diff Cell: Diffusion Furnace Inq. 1
7CELschA1253 Schmid TC 5-AC-TEX-1500 Cell: Transfer Conveyor Inq. 1
7CELschA1255 Schmid TC 5-P-DOP Cell: Transfer Conveyor Inq. 1
7CELschA1260 Schmid TC 5-P-ETCH1500 Cell: Transfer Conveyor Inq. 1
7CELschA1261 Schmid TC 2-BU400 Cell: Transfer Conveyor Inq. 1
7CELschA1264 Schmid TC2 C-SORT3000L Cell: Transfer Conveyor Inq. 1
7CELschA1258 Schmid TC5-BU1500/5/3 Cell: Transfer Conveyor Inq. 1
7CHEdanK10176 Dan Chemical EA635-001 Chemical supply box 2021 10
7CLEdisQ7468 Disco Inq. Cle: Stay clean injector 2009 1
7CLEdisM9834 DISCO Megcon PRC II-2000ACD CLE:Facilities Equipment 2013 1
7CLEkinV1772 Kintec FCM-2650 Cleaner/PCB Inq. 1
7CLEkkcN280 KKC Draft Clean: Draft Inq. 3
7CLEmanP3235 Manz G4.5 Cleaner: Pre Coater 2012 7
7CLEmanP3234 Manz O446 Cleaner/Pre Depo 2012 2
7CLEmanP3236 Manz O444 Cleaner: Initial 2012 1
7CLEmorE4875 Morigoseiki MOJ-580S Cleaner: High-pressure 1994 1
7CLEnikQ7828 NIKUNI S1200-D04 Cle: Stay clean injector 2009 2
7CLEnmsQ7467 NMS NDB-3 Cle: CO2 Bubbler 2001 1
7CLEnomQ7827 NOMURA MICRO SCIENCE ON8004-002T Cle: CO2 Bubbler 2001 1
7CLEsunL500 Sun Electron SD-92E Clean: Ultrasonic Generator Inq. 1
7CLEtstC525 TST Inq. Clean Tool Inq. 1
7CLEyokA2905 Yokogawa CM500 Clean Room Gas Monitor Inq. 1
7CLEyokR634 YOKOGAWA CM500 Clean Room Gas Monitor 2000 1
7CLNairL882 AIRTECH MAC BENCH Cln. Bench Inq. 3
7CLNas Q6501 AS ONE H1-1000 CLN: Clean booth 2008 1
7CLNdanQ12042 Dan takuma Inq. Cln: Clean Bench 2018 1
7CLNhitL883 HITACHI KCR 4-2030C Cln. Booth Inq. 1
7CLNinqL518 Inq. 1600x700 Cln. Bench Inq. 1
7CLNmbrQ8663 MBRAUN LABmasterSP CLN: Glovebox 2008 1
7CLNnihQ10913 Nihon Spindle CBU 2-2115 CLN:Clean booth inq. 1
7CLNnihQ8662 Nihon Spindle CBU3-3025 CLN: Clean booth Inq. 1
7CLNnipQ9690 NIPPON MUKI AST-56-90@610~610~290t CLN:Astron filter inq. 1
7CLNnitQ10315 NITTO inq CLN:air shower inq 1
7CLNoshQ9635 Oshitari M-P-500-A ~R CLN:filter inq. 1
7CLNoshE7283 Oshitari SLV Cln: filter Inq. 20
7CLNtopE7282 Topre TM15TPS Cln: Fan filter Inq. 20
7GASamaR295 AMAT OR-4ZA Gas: Ozonator Inq. 1
7GASermE9410 ERMA OPTICAL@WORKS ERC-3310 GAS:DEGASSER 1985 1
7GASfujS1343 Fujiimpulse VG-400 Gas filled sealer Inq. 1
7GASgudA12141 Gudeng N2charger/PCDA Gas N2charger 2006 1
7GAShitW9480 Hitachi NPO-114TX5 GAS:nitrogen generator 2007 1
7GAShonE4883 Honda Tsusho SW-124 Gas generator: Sunwelder 1998 1
7GASmitL12806 Mitsubishi SHB-01 Gas: Helium Pressurization System Inq. 1
7GASnihQ12071 Nihonsanso PMC-2 Gas: N2 generator 2001 1
7GASsanQ8490 Sanki S-60 gas: Scrubber 2016 1
7GAStaiE3629 Taiyo NS SPNP Gas: Nitrogen purification machine 2006 1
7GASyamQ7298 Yamato YAF GasFLiquid nitrogen unit 2018 1
7GASyanE4896 YANMAR Inq. GAS: GHP 1993 1
7GASyokA5682 Yokogawa CM500 gas: Atmosphere tester/12in. Inq. 1
7GASys C10468 YS (Yes-Sir Tech) YS-N-1C60 GAS:Nitrogen Generator 2016/2017 4
7GASys C10469 YS (Yes-Sir Tech) YS-N-1C40 GAS:Nitrogen Generator 2019 2
7LASgsiA1580 GSI Wafer Mark II Laser Marker Inq. 1
7OTH jbQ9735 JBAhoXgeNmW[ 5573-V02-W OTH:Impact printer /dot printer 2017 1
7OTH jbQ9736 JBAhoXgeNmW[ 5573-V02-W OTH:Impact printer /dot printer inq. 1
7OTHadtK11994 Adtec ARTS-D3 Other:Chiller/2ch Inq. 1
7OTHagiP1310 Agilent 11713A Other: Switch Driver Inq. 17
7OTHalpF12363 ALPS ALPS Sevor other: Software Inq. 1
7OTHalpF12364 ALPS License for all Machine other: Software Inq. 1
7OTHamaQ9833 AMANO VNA-15 OTH:Dust collector 2005 1
7OTHaneK8688 ANELVA 954-7005 Other: vacuum viewing port Inq. 2
7OTHaneK8689 ANELVA 954-7004 Other: vacuum viewing port Inq. 2
7OTHaneK8690 ANELVA 954-7002 Other: vacuum viewing port Inq. 4
7OTHaneK8691 ANELVA 954-7942 Other: current terminal/MS connector Inq. 2
7OTHaneK8692 ANELVA 954-7952 Other: current terminal/MS connector Inq. 1
7OTHaneK8693 ANELVA 954-7962 Other: current terminal/MS connector Inq. 1
7OTHaneK8694 ANELVA 954-7972 Other: current terminal/MS connector Inq. 1
7OTHaneK8695 ANELVA 954-7982 Other: current terminal/MS connector Inq. 2
7OTHaneK8697 ANELVA 954-7700 Other: Vacuum Switch Inq. 2
7OTHasmF12337 ASM ASM Kit other: Conversion kit Inq. 1
0147 ASM ASM LGA 3*3 FOR ISENT other: Conversion kit 7OTHasmF12356
0147 ASM ASM LGA 2*2 FOR QST other: Conversion kit 7OTHasmF12357
7OTHcasC658 CASIO KE-2000 Other: Marking Inq. 1
7OTHcasC659 CASIO KE-2000 Other: Marking Inq. 1
7OTHcheS1242 Chemitronics E-280 Other: UV irradiation tool Inq. 2
7OTHcitQ11495 CITIZEN P500 Board Packer Other: Printer Inq. 1
7OTHckdQ9631 CKD HYW2012C-S308 OTH:Chiller 2007 1
7OTHdaiQ10047 Daitron DPC-100 OTH:Peltier controller 2010 2
7OTHdanL6594 DANAGRAF DG 905 Other: Imagesetter Inq. 1
7OTHdelQ9962 DELL(WindowsXP) inq OTH:Desktop PC inq 1
7OTHdenQ12684 Denso INSPAC-10HF-NK-SE Other: Spot Cooler Inq. 2
7OTHdisA11026 Disco DTU150 OTH:Chiller inq. 1
7OTHdisA11480 Disco DTU150 Other:Chiller Inq. 1
7OTHelgQ7299 ELGA PURELAB flex oth: Ultrapure water device 2015 1
7OTHemeH2590 EME V-mini300K Other: Mixer Inq. 1
7OTHespR716 ESPEC STH-120 Other: High-Temp Chamber 2000 1
7OTHeyeP2732 EYELA CA-1111 Other: Chiller Inq. 1
7OTHfamX2207 Fameccs VC-1200 Other: Cooling Unit Inq. 1
7OTHfdcP848 FDC FDP-323M Other: Preheater Inq. 2
7OTHfloL11387 FLO MRC-730-S4-2N-V OTH:Washing Machine inq. 1
7OTHfujK9964 Fuji impulse V-301 OTH:degassing sealer 2008-2009 1
7OTHfukQ9843 Fukushima Industry URD-40RM1 OTH:Refrigerator inq 1
7OTHgpmF12368 GPM BGA 8B 1.2*1.2 Other: Kit Inq. 1
7OTHgudA12140 Gudeng CDACharger Other: CDA Purge Equipment 2006 1
7OTHhakQ9637 Hakko BCS1110 OTH:Heater inq. 1
7OTHhawL464 Hawkden HD-100A Other: Inq. Inq. 1
7OTHhitQ10914 Hitachi OSP-22VAN OTH:compressor inq. 1
7OTHhitQ11380 HITACHI OSP-15VARN OTH:Air Compressor 2011 1
7OTHhitQ9948 Hitachi OSP-22M6A@22KW OTH:screw compressor 2000 1
7OTHhokE10226 HOKUETSU SMS4-C OTH:compressor inq 1
7OTHhosQ9842 Hoshizaki HR-75S OTH:Refrigerator inq 1
7OTHhpA12597 HP SCANJET2300C other: Scanner Inq. 1
7OTHiaiW5865 IAI IX-HNN6030-10L-T2-SP Other: Actuator Inq. 1
7OTHimaM9452 IMAJE 9450 OTH:Inkjet printer 2021 1
7OTHinqF12359 Inq. 1.6*1.6 other: BGA1.6*1.6 metal tray Inq. 1
7OTHinqK10956 inq. 109S008 OTH:FAN inq. 3
7OTHinqK10959 inq. 109S005 OTH:FAN inq. 5
7OTHinqQ10099 inq 531CD-NP OTH:Refrigerator(LR) inq 1
7OTHinqW5839 Inq. GET-H004 Other: Case cover press-fitting machine Inq. 1
7OTHinqW5840 Inq. GFM-H002 Other: Shield assembly machine Inq. 1
7OTHinqA10536 inq inq OTH:anti-vibration table inq 1
7OTHinqK6045 Inq. Inq. other: Vacuum sealer Inq. 1
7OTHinqK8696 Inq. Inq. Other: BNC terminal Inq. 16
7OTHinqQ7860 Inq. Inq. Others: Repair parts Inq. 1
7OTHinqQ8484 Inq. Inq. oth: Metal case 2015 1
7OTHinqQ8495 Inq. Inq. other: Worktable Inq. 1
7OTHinqE12242 Inq. MHRS-2014 other: Miharu system 2017 1
7OTHinqK10964 inq. MRD-2022S-12 OTH:Mercury/Reed relay inq. 150
7OTHinqK10965 inq. MRD-302S-12 OTH:Mercury/Reed relay inq. 190
7OTHinqK10963 inq. MR-1046S-12 OTH:Mercury/Reed relay inq. 280
7OTHinqN306 Inq. N2 BOX Other: N2 Box Inq. 1
7OTHinqK10957 inq. S4556W 200V OTH:FAN inq. 2
7OTHinqK10960 inq. THA1-S7556X-TP N/O OTH:FAN inq. 4
7OTHinqK10961 inq. THA1B-S7556X-TP N/C OTH:FAN inq. 1
7OTHinqK10962 inq. THA2-4556-N/O OTH:FAN inq. 5
7OTHinqF12336 Inq. TTR-200 other: Tension machine Inq. 1
7OTHinqK10958 inq. U3450-TP OTH:FAN inq. 1
7OTHinqK10966 inq. VEJ55B5 OTH:FAN inq. 5
7OTHintS2011 Inter Action IA-OPT026W Other: Light source for image sensor test Inq. 1
7OTHkaeE4880 Kaercher BD530BAT Other: Floor cleaner 2004 1
7OTHkaiL11902 KAIJO HDV-701 etc. Other: Board(various) Inq. 1
7OTHkatS911 KATO SP-41NX Other: Thermal shock chamber Inq. 1
7OTHkawR178 Kawajiri Inq. Other: Hydraulic press Inq. 1
7OTHkawL11386 KAWATA KGS-350-KS OTH:GRANULATOR inq. 6
7OTHkeyE16 KEYENCE BT-500 Other: Barcode Handy Terminal 2003 1
7OTHkeyQ11222 Keyence MK-U6100/MK-P4 OTH:INKJET PRINTER inq. 1
7OTHkeyQ11223 Keyence MK-U6100/P4 OTH:INKJET PRINTER inq. 1
7OTHkeyE10771 Keyence VT3-V8 OTH:touch panel inq 1
7OTHkomW5833 Komatsu EI90L-K Other: Coating drying equipment Inq. 1
7OTHkomR279 KOMATSU PHW-7220 Other: Pure Water Heating Tool 1996 4
7OTHkomQ11277 komuratec SmaLabo-Pro@ OTH:Flexographic printing press inq. 1
7OTHkyoB8186 Kyoto Denkiki LSA-C4S-MPA other: Luminos supply Inq. 1
7OTHmarE10357 Markforged Metal X OTH:3D printer inq 1
7OTHmatL11385 MATSUI SMG-050-OM OTH:Crusher inq. 1
7OTHmidS5375 Midori Anzen PALCLEAN other: Separate Smoking system 2002 1
7OTHmisQ10786 MISUMI MCHK5-30-V200-W40 OTH:Cartridge Heater inq 1
7OTHmisQ10789 MISUMI MCHK5-30-V200-W40 OTH:Cartridge Heater inq 1
7OTHmisQ10788 MISUMI MTCRM OTH:Temperature controller inq 1
7OTHmisQ10791 MISUMI MTCRM OTH:Temperature controller inq 1
7OTHmitA12599 Mitsubishi P91 other: 91W Image printing Inq. 1
7OTHmitO1785 Mitsui Seiki ZU155A Other: Screw compressor Inq. 1
7OTHmitO1784 Mitsui Seiki ZU225A2 Other: Screw compressor Inq. 1
7OTHmotL420 Motronix 541C Other: Inq. Inq. 1
7OTHmulF12351 Multitest 1.2*1.7 other: CK FOR WLCSP Inq. 1
7OTHmulF12353 Multitest 1.2*1.7 other: Incarrier Carrier Inq. 1
7OTHmulF12352 Multitest 1.42*1.42 other: CK FOR BGA Inq. 1
7OTHmulF12370 Multitest BGA1.6*1.6 incarrier Other: Kit Inq. 1
7OTHmulF12360 Multitest LGA2*2 incarrier Other: Kit Inq. 1
7OTHmulF12309 Multitest NIT WIU Other: Inq. 2015 1
7OTHmulF12310 Multitest NIT WIU Other: Inq. 2016 1
7OTHmulF12311 Multitest NIT WIU Other: Inq. 2016 1
7OTHmulF12312 Multitest NIT WIU Other: Inq. 2016 1
7OTHmulF12313 Multitest NIT WIU Other: Inq. 2017 1
7OTHmulF12314 Multitest NIT WIU Other: Inq. 2018 1
7OTHnihL482 Nihon Itomic EI-30N5 Other: Instantaneous Water Heater Inq. 1
7OTHnihN141 Nihon Freezer NF-140S Other: Freezer Inq. 1
7OTHnitQ10228 NITEC GSAN-300HN@AGT-40N OTH:Bacotin heater 2013 2
7OTHnlcS5374 NLC SP-10747 Other: Vacuum encapsulating system/2-pack silicone gel 2012 1
7OTHokuQ8060 Okura FES20-400A12Y09 Other: belt conveyor 2006 2
7OTHopkE10227 OPK PL-H1000-15 OTH:lifter inq 1
7OTHoptA12615 Optiplex 380DT other: PC Inq. 1
7OTHorgE10781 ORGANO PURIC-MX OTH:ultrapure water system inq 1
7OTHorgE7679 Organo UPW-1000 oth: Pure water device Inq. 1
7OTHoriE7258 ORION Inq. Other: Chiller 2014 3
7OTHori6251 ORION RKE15000B-VW Other: DC inverter chiller 2019.7 1
7OTHpanB8217 Panasonic GP-ME102K Other: Camera unit Inq. 1
7OTHpanB8224 Panasonic LMQYRYG00M2 Other: Signal light unit Inq. 1
7OTHpanB8230 Panasonic N4231TV2-112 other: Electro-pneumatic regulator Inq. 1
7OTHpanB8216 Panasonic NP-002 Other: Camera Inq. 1
7OTHpanB8214 Panasonic P951-30200200-B1 other: Transformer box Inq. 1
7OTHrecA12142 Recif SPP300 Other: Wafer Sorter 2002 1
7OTHrecA12143 Recif SPP300 Other: Wafer Sorter 2002 1
7OTHsanN312 SANYO MOV-1025 Other: Thermostatic Bath Inq. 1
7OTHsetQ10174 SETTSU RKC-1751-71N1 OTH:19inch cabinet rack inq 3
7OTHsmcB8232 SMC CDRA1BW30-180 other: Rotary actuator Inq. 1
7OTHsmcQ10794 SMC MHZ2-10D2-M9NL OTH:Air Gripper inq 1
7OTHsmcQ10795 SMC lgy2-6c2-M9NL OTH:Air Gripper inq 1
7OTHsmcQ10798 SMC MHZ2-10D2-M9NL OTH:Air Gripper inq 1
7OTHsmcQ10799 SMC lgy2-6c2-M9NL OTH:Air Gripper inq 1
7OTHsmcQ10796 SMC MXJ12-50ZA-M9NL OTH:Air Slide Table inq 1
7OTHsmcQ10800 SMC MXJ12-50ZA-M9NL OTH:Air Slide Table inq 1
7OTHsmcQ10805 SMC MXQ12-30C-M9N OTH:Air Slide Table inq 1
7OTHsmcQ10801 SMC MXS8-10A-M9NVL OTH:Air Slide Table inq 1
7OTHsmcQ10808 SMC MXS8-10A-MPNVL OTH:Cylinder inq 1
7OTHsrmF12358 SRM 1.6*1.6 other: SRM kit for BGA Inq. 1
7OTHsrmF12355 SRM SRM 1.28*0.88 other: Conversion kit Inq. 1
7OTHsugQ10408 Sugias ST80E-WW OTH:Traverse Lift 2016 1
7OTHsunC535 Sun Tech MPF63S-2A Other: Laminator 2005 1
7OTHsunQ9636 Sun Art SCH-900 OTH:IC Control Heater inq. 1
7OTHtaiN99 TAIKA GBR-10 Other: Mantle Heater 2008 1
7OTHtdtA10543 TDT AD-350H OTH:Moisture-proof Box 1997 1
7OTHtelL423 Telemax Inq. Other: Digipoint Inq. 1
7OTHtelS2978 TEL RD-9900 Other: Dryer 2007 1
7OTHtelQ7459 TELEVIEW TPG430B other: MPEG Stream Station Inq. 1
7OTHtheA4599 Thermo Flex5000 Other: Chiller for SIMS 2017 1
7OTHthkQ10806 THK SKR3306A-0045-R1-1-Q08MD000L OTH:LM Guide inq 1
7OTHthkQ10807 THK SKR3306A-0045-R1-1-Q08MD000L OTH:LM Guide inq 1
7OTHthkQ7729 THK SKR2001A-080 Other: LM Guide Actuator 2018 2
7OTHthkQ7730 THK SKR2606A-080 Other: LM Guide Actuator 2018 2
7OTHthkQ7731 THK SKR2001A-080 Other: LM Guide Actuator 2018 2
7OTHtoaL440 TOA Elec. SM-21E Other: Super Nego Hmmeter Inq. 1
7OTHtokS972 TOKEN TBLP-458-TS23 Other: Label printer 2003 1
7OTHtorL469 Toranzatto ALC Other: Inq. Inq. 5
7OTHtowF12365 TOWA CONVERSION KIT other: Conversion kit Inq. 1
7OTHtuoG12727 Tuosi Precision Technology (Suzhou) Inq. other: PSS brush 2019 1
7OTHvatK8703 VAT F01- 53360-31 Other: Gate valve Inq. 1
7OTHvatK8704 VAT F01-53360-28 Other: Gate valve Inq. 1
7OTHvatK8708 VAT F02-56548-01 Other: Gate valve Inq. 1
7OTHvatK8709 VAT F02-56548 -02 Other: Gate valve Inq. 1
7OTHvatK8705 VAT F10-56549-03 Other: Gate valve Inq. 1
7OTHvatK8706 VAT F10-56549-02 Other: Gate valve Inq. 1
7OTHvatK8707 VAT F10-56549-01 Other: Gate valve Inq. 1
7OTHvatK8699 VAT F26-56549-06 Other: Angle valve Inq. 1
7OTHvatK8700 VAT F26-56549-05 Other: Angle valve Inq. 1
7OTHvatK8701 VAT F26-56549-04 Other: Angle valve Inq. 1
7OTHvatK8702 VAT F28-5654-07 Other: Angle valve Inq. 1
7OTHxinL11109 Xingjiang SW OTH:Manual Framing machine inq. 1
7OTHxyzQ9634 XYZprinting da Vinci 1.0 Pro 3-in-1 OTH:Printer 2017 1
7OTHyamW6660 Yamabishi SS-26-10 other: Slidac Inq. 1
7OTHyasQ4501 Yaskawa PX1450 Other: Painting robot 2010 1
7OTHyicM1615 Yicheng (Yicheng) Other: Magnetic Separator Inq. 10
7OTHyouA12147 YOUTECH CORP Inq. Other: Parts cleaner/foroxide EtcherParts 2005 1
7OTHzebP1530 ZEBRA S600 Other: Barcode Printer Inq. 1
7OTHzebP1531 ZEBRA S600 Other: Barcode Printer Inq. 1
7OTHzebP1532 ZEBRA S600 Other: Barcode Printer Inq. 1
7OTHzhuL11112 ZHUHAI BAIXIN MACHINERY CYY-A3400DG OTH:Laminator Heating Machine inq. 1
7OTHfBXE7671 fBXR DTU150 Other: Chiller 1998 1
7OVEadvN98 ADVANTEC FC-612 Oven Inq. 1
7OVEas E12700 AS ONE LTC-1200A ove: Cooling Water Circulator 2012 1
7OVEbtuL11219 BTU CHT204-9-126S Oven:Convection 2010 1
7OVEcunF12326 CUN QMO-4C Oven 2006 1
7OVEcunF12327 CUN QMO-4C Oven 2007 1
7OVEdalQ9066 DALTON Inq. ove: Draft chamber Inq. 1
7OVEdanL484 Dan Inq. Oven/Anneal/Vacuum Inq. 2
7OVEdenA10533 Dengyng DOS-60 Oven 1999 1
7OVEdenA10534 Dengyng DOS-60 Oven 2012 1
7OVEespE10950 ESPEC ARG-0680-J OVE:Constant temperature 2012 1
7OVEespE12492 Espec LC-224 Oven 2009 1
7OVEespE12493 Espec LC-224 Oven 2015 2
7OVEespQ11264 ESPEC LC-234 OVE:Hot air dryer inq. 1
7OVEespQ12796 ESPEC MC-811T oven: Low temperature chamber 2006 1
7OVEespE12003 ESPEC PG-4KP oven: Low temperature incubator 2009 1
7OVEespQ8854 Espec PL-1KP Oven 2007 1
7OVEespQ9002 Espec PR-4KP ove: Temperature and humidity chamber Inq. 1
7OVEespE12004 ESPEC PU-4J oven: Low temperature incubator 2013 1
7OVEespQ11912 ESPEC PU-4KT-E Ove: Incubator 2011 2
7OVEespQ12798 ESPEC PU-2KP oven: Low temperature chamber 2000 1
7OVEespQ12799 ESPEC PU-2KP oven: Low temperature chamber 1998 1
7OVEespL12807 ESPEC PVC-211M Oven: High-Performance Clean Oven Inq. 1
7OVEespE10161 ESPEC PVHC-230 OVEN 1997 1
7OVEespQ11187 Espec PVHC-230 OVE:Clean Bake 1992 1
7OVEespQ12797 ESPEC PVH-211 Oven 2006 1
7OVEespA1718 ESPEC ST-120BI Oven Inq. 1
7OVEetaE7664 ETAC HT220 Oven 1997 1
7OVEgroV1777 Group Up GOC-8VP Oven Inq. 1
7OVEinqK8419 Inq. 7009 Ove: oil bath 1996 1
7OVEisuL439 Isuzu CPO-12SD Oven Inq. 1
7OVEisuL472 Isuzu Inq. Oven Inq. 1
7OVEisuL442 Isuzu SF.14S Oven Inq. 1
7OVEisuL453 Isuzu SO.12S Oven Inq. 1
7OVEisuN140 Isuzu Seisakusho SSF-113S+AT-S13 Oven/Drying +Controller Inq. 1
7OVEiucQ12074 IUCHI AHSU-1500 ove: Draft chamber 2001 1
7OVEmiuT776 Miura NT-170H Oven: Hot Water Heater 2010 1
7OVEneoV1776 NEO-Shiny SO-A7-SRO Oven Inq. 1
7OVEneoV1778 NEO-Shiny SO-A7-SRO Oven Inq. 1
7OVEneoV1779 NEO-Shiny SO-A7-SRO Oven Inq. 1
7OVEneoV1780 NEO-Shiny SO-A7-SRO Oven Inq. 1
7OVEoshK10762 Oshitari Inq. Oven: Clean Oven Inq. 1
7OVEsteQ9065 Step-science Inq. ove: Draft chamber Inq. 1
7OVEsteQ9067 Step-science Inq. ove: Draft chamber Inq. 1
7OVEtabS162 TABAI LP-201 Oven 2000 1
7OVEtabE4870 Tabai PS-242 Oven 1980 1
7OVEtabQ6474 TABAI@ESPEC PV-220 Oven 1990 1
7OVEtakW1560 TAKETSUNA Inq. Oven: Hot air generator Inq. 1
7OVEtoyN97 TOYAMA SANGYO MO-9216 Oven 1995 1
7OVEulvQ12080 ULVAC DAH-60 Ove:Vacuum Defoamer 2001 1
7OVEyamQ12060 YAMATO DKN402 oven: Air blower constant temperature bath 2006 1
7OVEyamQ12061 YAMATO DKN402 oven: Air blower constant temperature bath 2007 1
7OVEyamE7665 Yamato DN63HI Oven/Bake 2001 1
7OVEyamR715 Yamato IH400 Ove: Incubator Inq. 1
7OVEMQ11227 M XT300L Oven:constant-temperature 2015 1
7POWadvE10768 ADVANTEST SMU@TR6143 power inq 1
7POWagiV3928 Agilent 3499B Power Supply: DC Inq. 3
7POWcomE4897 Combex Inq. Power Supply 1996 1
7POWeatA4600 Eaton 3C3-20000EX 26AH Power Supply: UPS for SIMS 2017 1
7POWeatA4591 Eaton C-6000 Power Supply:UPS for H600 2010 1
7POWfluQ7507 Fluke 412B Power Supply/DC 1970 1
7POWgwV3916 GW GPC-6030D Power Supply: DC Inq. 2
7POWgwV3917 GW GPC-3030D Power Supply Inq. 1
7POWgwV3924 GW GPC-3060D Power Supply: DC Inq. 1
7POWgwV3913 GW GPS-3303 3CH Power Supply: DC Inq. 5
7POWgwV3918 GW GPS-3303 Power Supply: DC Inq. 1
7POWgwV3921 GW GPS 4303 Power Supply: DC Inq. 2
7POWheiQ8533 Heiwadengen KS700-2 Power Supply Inq. 1
7POWheiQ8534 Heiwadengen KS700-2 Power Supply Inq. 1
7POWimsQ11226 IMS HSP-1602S Power Supply:Magnetizing inq. 1
7POWinqQ10049 inq DP-3003ADP-3002S Power Supply:DC inq 1
7POWinqK10955 inq. HWS1000-60 Power Supply inq. 1
7POWinqL421 Inq. NC-15P Power Supply/DC Inq. 1
7POWkeiP1312 KEITHLEY 2308 Power: Portable Device Battery Inq. 18
7POWkeyQ7514 Keysight 6622A Power Supply/Multi output 1988 3
7POWkeyQ7432 Keysight E3620A Power Supply/DC Inq. 1
7POWkikQ7532 Kikusui PAD35-20L Power Supply/DC 1981 1
7POWkikQ7445 Kikusui PMC18-3 Power Supply/DC Inq. 1
7POWkikQ7446 Kikusui PMC18-5 Power Supply/DC Inq. 1
7POWkikQ7487 Kikusui PMC18-3A Power Supply/DC Inq. 1
7POWkikQ7488 Kikusui PMC18-5A Power Supply/DC Inq. 1
7POWkikQ7489 Kikusui PMC35-1 Power Supply/DC Inq. 1
7POWkikQ7490 Kikusui PMC35-3A Power Supply/DC Inq. 1
7POWkikQ7491 Kikusui PMC500-0.1A Power Supply/DC Inq. 1
7POWkikQ7447 Kikusui PMM24-1QU Power Supply/DC Inq. 2
7POWkikE10767 Kikusui PowerSupply@PAB8-5@ power inq 1
7POWkyoE9418 KYOWA SLE-10H POWER UNIT inq. 1
7POWleaL422 LEAD 115V30A Power Supply Inq. 1
7POWmetL418 Metronix 523B Power Supply Inq. 2
7POWmetL444 Metronix 543B Power Supply/DC Inq. 1
7POWmetL456 Metronix 543B Power Supply Inq. 1
7POWmetQ7475 Metronix 544B Power Supply/DC Inq. 1
7POWmetQ7480 Metronix DMS18-3 Power Supply/DC Inq. 7
7POWmetQ7520 Metronix DMS18-8 Power Supply/DC 1987 1
7POWpanB8227 Panasonic NP-002-2 Power supply for TV camera Inq. 2
7POWpanB8228 Panasonic NP-002E-2 Power supply for TV camera Inq. 1
7POWpanB8229 Panasonic NP-002KE-2 Power supply for TV camera Inq. 1
7POWpowL8423 Powerware plus (EATON) UPS-18 Power Supply:UPS 1997-2000 2
7POWpowL8424 Powerware plus (EATON) UPS-36 Power Supply:UPS 2000 3
7POWsanL419 Sansei 25V05 Power Supply Inq. 2
7POWsanL435 Sansei 25V05 Power Supply/DC Inq. 1
7POWsanL457 Sansei 25V05A Power Supply Inq. 3
7POWsanC901 Sansha HKD-105AT Power Supply Inq. 10
7POWshiQ8537 Shibasoku PA14A1 Power Supply: UltraLowNoise Inq. 1
7POWtakV3919 Takasago EX-375L2 Power Supply: DC Inq. 1
7POWtakQ7483 Takasago GP0110-10R Power Supply/DC Inq. 1
7POWtakQ7484 Takasago GP060-20 Power Supply/DC Inq. 1
7POWtakQ7436 Takasago KX-100L Power Supply/DC Inq. 1
7POWtakQ7529 Takasago NL035-30 Power Supply/DC 1987 1
7POWtakQ8532 Takasago TEIDENRYUDENGEN Power Supply Inq. 1
7POWtakQ7496 Takasago TMK1.0-50 Power Supply/DC Inq. 1
7POWtakK9963 TAKASAGO ZX-400L Power supply inq 1
7POWtdkQ8429 TDK GEN8-400-3P200-D Power Supply(CVCC) 2008 2
TDK MS-12-24(24V7.5A) 0
Power supply/AC Inq. 1
7POWtexQ7438 Texio PA10-5B Power Supply/DC Inq. 1
7POWtexQ7439 Texio PA18-3A Power Supply/DC Inq. 14
7POWtexQ7440 Texio PA18-6A Power Supply/DC Inq. 15
7POWtexQ7486 Texio PA70-1 Power Supply/DC Inq. 1
7POWtexQ7441 Texio PAR18-5 Power Supply/DC Inq. 1
7POWtexQ7442 Texio PAR18-6A Power Supply/DC Inq. 1
7POWtexQ7443 Texio PAR20-4H Power Supply/DC Inq. 3
7POWtexW5832 Texio PSF-1200L Power Supply Inq. 1
7POWtexQ7449 Texio PW18-1T Power Supply/DC Inq. 1
7POWtexQ7492 Texio PW18-1.8AQ Power Supply/DC Inq. 1
7POWtexQ7493 Texio PW36-1 Power Supply/DC Inq. 1
7POWtexQ7450 Texio PWR18-1T Power Supply/DC: Multi-output Inq. 4
7POWtexQ7451 Texio PWR18-2P Power Supply/DC Inq. 2
7POWtexQ7452 Texio PWR18-2TP Power Supply/DC Inq. 2
7POWtexQ7494 Texio PWR18-2TP Power Supply/DC Inq. 1
7POWyokQ7402 Yokogawa 768121 Power Supply/DC Inq. 1
7PUMagiK9968 Agilent Technologies G6012A PUM:Quiet cover inq 1
7PUMalcA12211 Alcatel ADP 81 Pump: Dry Inq. 2
7PUMalcA12212 Alcatel ADP 122P Pump: Dry Inq. 2
7PUMalcA12213 Alcatel ADP 501 Pump: Dry Inq. 9
7PUMalcA12554 Alcatel ADP122 Pump: Dry Inq. 4
7PUMalcA12526 Alcatel ADS602 Pump: Dry Inq. 81
7PUManeK8710 ANELVA 921-7165 Pump: Ion Inq. 2
7PUManeK8711 ANELVA 921-7050 Pump: Ion Inq. 1
7PUManeQ8157 Anelva V060S Pump/Dry Inq. 1
7PUMardQ8106 ARDUC D-650D Pump/Rotary Inq. 2
7PUMardQ8109 ARDUC D-950D Pump/Rotary Inq. 2
7PUMbroQ8149 Brooks TORR-100 Pump/Cryo Inq. 1
7PUMbusA12166 Busch DH250 Pump 2002-2008 3
7PUMbusA12169 Busch DH250 Pump 2005 2
7PUMbusA12167 Busch DH700 Pump 2002-2008 5
7PUMbusA12214 BUSCH DS700 Pump Inq. 12
7PUMcanQ8085 Canon-anelva 1000C Pump: TMP Inq. 1
7PUMcanQ8088 Canon-anelva 2012A Pump/Rotary Inq. 1
7PUMcanQ8093 Canon-anelva 2033C Pump/Rotary Inq. 1
7PUMcanQ8094 Canon-anelva 2033SD Pump/Rotary Inq. 2
7PUMcanQ8095 Canon-anelva 2063 Pump/Rotary Inq. 1
7PUMcanQ8098 Canon-anelva A10S Pump/Dry Inq. 1
7PUMcanQ8101 Canon-anelva CAP-121 Pump/Cryo Inq. 2
7PUMcanQ8102 Canon-anelva CAP-80MK2 Pump/Cryo Inq. 1
7PUMcanQ8113 Canon-anelva DA-60D Pump/Diaphragm Inq. 2
7PUMcanQ8126 Canon-anelva KRC-901 Pump/Rotary Inq. 2
7PUMcanQ8134 Canon-anelva MBH-600F Pump/Mechanical booster Inq. 1
7PUMcanQ8142 Canon-anelva TC200VW Pump/COMPOUND MOLECULAR Inq. 1
7PUMcanQ8143 Canon-anelva TG-1300VM Pump: TMP Inq. 1
7PUMcanQ8151 Canon-anelva TS50VW Pump/HELICAL GROOVED Inq. 1
7PUMdiaQ8116 DIAVACLIMITED DPF-6Z Pump/Diffusion Inq. 2
7PUMdioE9412 DIONEX DQP-1 PUM:Personalpump 1991 1
7PUMdisA11027 Disco DVC010 PUMP:Vacuum inq. 1
7PUMebaA12534 Ebara 40X20 Pump: Dry Inq. 1
7PUMebaA12538 Ebara 80X25 Pump: Dry Inq. 2
7PUMebaA12208 Ebara A07V Pump: Dry Inq. 2
7PUMebaA12539 Ebara A07V Pump: Dry Inq. 1
7PUMebaA12532 Ebara A10S Pump: Dry Inq. 4
7PUMebaA12557 Ebara A150W-T Pump: Dry Inq. 2
7PUMebaA12529 Ebara A30WC Pump: Dry Inq. 5
7PUMebaA12533 Ebara A30W Pump: Dry Inq. 7
7PUMebaA12535 Ebara A30WN Pump: Dry Inq. 2
7PUMebaA12168 EBARA A70W Pump 1996-2004 6
7PUMebaA12209 Ebara A70W Pump: Dry Inq. 2
7PUMebaA12527 Ebara A70W Pump: Dry Inq. 6
7PUMebaA12530 Ebara A70W-N Pump: Dry Inq. 28
7PUMebaA12531 Ebara A70W-C Pump: Dry Inq. 5
7PUMebaA12556 Ebara AA10 Pump: Dry Inq. 3
7PUMebaA12558 Ebara AA200WN-H Pump: Dry Inq. 20
7PUMebaA12560 Ebara AA200WN Pump: Dry Inq. 6
7PUMebaA12561 Ebara AA200WA-H Pump: Dry Inq. 1
7PUMebaA12546 Ebara AA40WN Pump: Dry Inq. 4
7PUMebaA12536 Ebara AAS200WN Pump: Dry Inq. 4
7PUMebaA12537 Ebara AAS100WN Pump: Dry Inq. 8
7PUMebaA12541 Ebara EPOS010 Pump: SSU(NW-1) Inq. 20
7PUMebaA12547 Ebara EPOSD24 Pump: SSU(QS21) Inq. 3
7PUMebaK11448 Ebara ESR80WN Pump: Dry Inq. 1
7PUMebaK11449 Ebara ESR20N Pump: Dry Inq. 1
7PUMebaA12210 Ebara Inq. Pump Inq. 2
7PUMebaA12563 Ebara Inq. Pump: Dry Inq. 1
7PUMebaA12566 Ebara NA70W Pump: Dry Inq. 1
7PUMedwA12206 Edwards 80 Pump Inq. 2
7PUMedwQ8114 Edwards DP-40 Pump/Dry Inq. 1
7PUMedwQ8115 Edwards DP-80 Pump/Dry Inq. 1
7PUMedwQ6179 EDWARDS EH250 Pump: booster/8in. Inq. 1
7PUMedwQ6180 EDWARDS EH250 Pump: booster/8in. Inq. 1
7PUMedwQ6182 EDWARDS Japan EH250 Pump: booster/8in. Inq. 1
7PUMedwQ6173 EDWARDS EH-250F Pump: booster/8in. 1995 1
7PUMedwQ6174 EDWARDS EH-250 Pump: booster/8in. 1994 1
7PUMedwQ6175 EDWARDS EH-250 Pump: booster/8in. 1994 1
7PUMedwA12203 Edwards IH 600 Pump: Dry Inq. 4
7PUMedwA12171 Edwards iH600 Pump 2002 2
7PUMedwA12170 Edwards iH80 Pump 2002-2006 24
7PUMedwA12204 Edwards IH-80 Pump: Dry Inq. 7
7PUMedwA12562 Edwards Inq. Pump: Dry Inq. 1
7PUMedwA12564 Edwards Inq. Pump: Dry Inq. 1
7PUMedwA12565 Edwards Inq. Pump: Dry Inq. 1
7PUMedwA12172 Edwards IQDP80 Pump 2003 1
7PUMedwA12202 Edwards IQDP 80 Pump: Dry Inq. 5
7PUMedwA12555 Edwards IQDP40 Pump: Dry Inq. 20
7PUMedwA12559 Edwards IQDP80/QMB500 Pump: Dry Inq. 18
7PUMedwQ8123 Edwards IQDP-40 Pump/Dry Inq. 1
7PUMedwA12201 Edwards QDP 80 Pump: Dry Inq. 14
7PUMedwA12205 Edwards QDP80/250 Pump: Dry Inq. 3
7PUMedwA12207 Edwards QDP80/500 Pump: Dry Inq. 1
7PUMedwA12528 Edwards QDP80 Pump: Dry Inq. 11
7PUMedwQ6181 EDWARDS Japan QDP40 Pump: Dry/8in. Inq. 1
7PUMedwQ6243 EDWARDS QDP80 Pump: Dry/8in. Inq. 1
7PUMedwQ6245 EDWARDS Japan QDP80 Pump: Dry/8in. Inq. 1
7PUMedwQ6177 EDWARDS Japan QMB1200 Pump: Dry/8in. Inq. 1
7PUMedwQ6178 EDWARDS Japan QMB1200 Pump: Dry/8in. Inq. 1
7PUMedwQ6184 EDWARDS Japan QMB1200F Pump: Dry/8in. Inq. 1
7PUMedwK8712 Edwards RV8 Pump/Rotary Inq. 2
7PUMhakQ8107 Hakuto D-650D Pump/Rotary Inq. 1
7PUMhakQ8110 Hakuto D-950DK Pump/Rotary Inq. 1
7PUMhitQ8086 Hitachi 160VP Pump/Rotary Inq. 1
7PUMhitQ6183 Hitachi VT600 Pump: Dry/8in. Inq. 1
7PUMhorQ8100 Horiba BF3 5CCM Pump/Inq. Inq. 1
7PUMinqL452 Inq. 350 Pump/Rotary Inq. 7
7PUMinqL451 Inq. 500 Pump/Rotary Inq. 6
7PUMinqB8226 Inq. K4A-400 pump: Vacuum pump motor Inq. 1
7PUMiriQ8119 Irie FF-20BT1-07 Pump/Bellows Inq. 1
7PUMjapE9411 Japan Precision Science NP-S-321 PUM:Personalpump 1985 1
7PUMkasQ8096 Kashiyama 2063 Pump/Rotary Inq. 1
7PUMkasA12548 Kashiyama D-TYPE Pump: Dry Inq. 12
7PUMkasA12550 Kashiyama KMB601 Pump: Dry Inq. 10
7PUMkasQ8124 Kashiyama KMB-101P Pump/Mechanical booster Inq. 1
7PUMkasQ8125 Kashiyama KRC-350S Pump/Rotary Inq. 4
7PUMkasQ8127 Kashiyama KRS-1301 Pump/Rotary Inq. 1
7PUMkasQ8129 Kashiyama KRS-901 Pump/Rotary Inq. 5
7PUMkasQ8130 Kashiyama KRS-901(޲·) Pump/Rotary Inq. 1
7PUMkasQ8131 Kashiyama LEM40MA Pump/Water-sealed Inq. 1
7PUMkasA12165 Kashiyama ME40K Pump 2007 1
7PUMkasA12551 Kashiyama MS300 Pump: Dry Inq. 7
7PUMkasQ8137 Kashiyama PMB006C Pump/Mechanical booster Inq. 1
7PUMkasA12553 Kashiyama SD1200 Pump: Dry Inq. 2
7PUMkasA12540 Kashiyama SD306 Pump: Dry Inq. 70
7PUMkasA12542 Kashiyama SD300 Pump: Dry Inq. 1
7PUMkasA12545 Kashiyama SD603B Pump: Dry Inq. 1
7PUMkasA12552 Kashiyama SD600 Pump: Dry Inq. 9
7PUMkasA12549 Kashiyama SD90[(F) Pump: Dry Inq. 10
7PUMkasA12543 Kashiyama SDE303A Pump: Dry Inq. 3
7PUMkasA12544 Kashiyama SDE603B Pump: Dry Inq. 3
7PUMkasK12632 Kashiyama SDE2003X-006A Pump: Vacuum pumping unit 2013 1
7PUMkasQ8140 Kashiyama SD-60V2 Pump/Dry Inq. 1
7PUMnagQ8159 Nagase V204S Pump/Cryo Inq. 2
7PUMnecQ8087 NEC 2012A Pump/Rotary Inq. 3
7PUMnecQ8089 NEC 2015 Pump/Rotary Inq. 3
7PUMnecQ8090 NEC 2015C1 Pump/Rotary Inq. 1
7PUMnecQ8091 NEC 2033 Pump/Rotary Inq. 5
7PUMnecQ8092 NEC 2033C Pump/Rotary Inq. 1
7PUMnecQ8097 NEC 2063C Pump/Rotary Inq. 2
7PUMnecQ8105 NEC D-650D Pump/Rotary Inq. 2
7PUMnecQ8108 NEC D-950D Pump/Rotary Inq. 1
7PUMnecQ8111 NEC DA-20D Pump/Diaphragm Inq. 1
7PUMnecQ8112 NEC DA-40S Pump/Diaphragm Inq. 1
7PUMnecQ8118 NEC EH250 Pump/Mechanical booster Inq. 1
7PUMnecQ8122 NEC GVD135A Pump/Rotary Inq. 1
7PUMnecQ8128 NEC KRS-1800 Pump/Rotary Inq. 3
7PUMnecQ8132 NEC MB-100 Pump/Mechanical booster Inq. 2
7PUMnecQ8136 NEC PMB-006A Pump/Mechanical booster Inq. 3
7PUMnecQ8144 NEC TG374MVA(޺) Pump: TMP Inq. 1
7PUMnteQ8158 NTE V204S Pump/Cryo Inq. 1
0141 OSAKA VACUUM LTD. TG1810 Pump: Compound molecular 7PUMosaQ6645
0141 OSAKA VACUUM LTD. TG1810VW Pump: Compound molecular 7PUMosaQ6646
7PUMscrQ8135 Screen SPE PJ100 Pump/High pressure generator Inq. 1
7PUMshiQ8133 Shimazu MB-30 Pump/Mechanical booster Inq. 1
7PUMshiQ8145 SHIMADZU TMP-1003LMC 8 Pump: TMP Inq. 1
7PUMshiQ8146 SHIMADZU TMP-1003LMC-3 Pump: TMP Inq. 2
7PUMshiQ8148 SHIMADZU TMP-280-L-F Pump: TMP Inq. 1
7PUMshiQ9976 SHIMADZU TMP-3203LMC Pump:Turbo Molecular inq 1
7PUMsmiQ8139 SMIT R-620 Pump/Diffusion Inq. 1
7PUMsuzQ8150 Suzukishokan TORR8 Pump/Cryo Inq. 1
7PUMtaiQ6640 TAIKO RIC-3600H Pump: Mechanical booster Inq. 2
7PUMtaiQ6641 TAIKO RIC-3600HP Pump: Mechanical booster Inq. 1
7PUMtdyQ8117 TDY E2M80 Pump/Rotary Inq. 2
7PUMtdyQ8152 TDY TURBO VAC 1000C Pump: TMP Inq. 1
7PUMtoyQ8099 Toyoko kagaku AR 5CCM Pump/Inq. Inq. 1
7PUMulvQ6590 ULVAC DAH-60H Pump: vacuum 1991 1
7PUMulvQ6591 ULVAC DA-60S Pump: vacuum 1990 1
7PUMulvQ8120 Ulvac G-100D Pump/Rotary Inq. 1
7PUMulvQ8121 Ulvac G-100D-100V Pump/Rotary Inq. 1
7PUMulvQ6592 ULVAC G-20D Pump: vacuum 1991 1
7PUMulvE10420 ULVAC GLD-137J Pump:Rotary 2021 1
7PUMulvQ8138 Ulvac PMB-006C Pump/Mechanical booster Inq. 1
7PUMulvQ8154 Ulvac UTM 1400FW/D1A Pump: TMP Inq. 1
7PUMulvQ8155 Ulvac UTM1400FWD1K Pump: TMP Inq. 1
7PUMvarA10916 Varian TV 3K.T Pump:Turbo 2006 1
7SUB3i P3224 3i SYSTEMS HS-45015 Sub: AOI 2012 1
7SUBcicQ5369 CIC Inq. sub: PVD 2014 1
7SUBinqV4687 Inq. EFC-1610 Sub: ACF Repair Machine Inq. 1
7SUBnecQ5367 NEC SL-465A2 sub: Laser Repair/CVD 2000 1
7SUBolyQ5364 OLYMPUS AR-1025 sub: Measurement: Size 1994 1
7SUBolyQ5363 OLYMPUS MHL525MS/MHL320S sub: Inspection: Micro/Macro 1995 1
7SUBolyQ5371 OLYMPUS MHL-525M sub: Microscope 1995 1
7SUBolyQ5370 OLYMPUS OSP-SP200 sub: Insp: Microspectrophotometer 1995 1
7SUBseiP3222 SEIWA OPTICAL PA-920V-INL Sub: Stepper/EXP 2012 7
7SUBshiP3223 SHIBAURA MECHATRONICS JAQ Sub: Stripper 2012 4
7SUBtakQ5368 Takano Inq. sub: Inspection 2008 1
7SUBtelQ5365 TEL ME-500R2 sub: Dry Etcher 1995 1
7SUByacQ5366 YAC DES-MSA525EEA sub: Dry Etcher 1995 1
7TRAdenE10306 DENKEN DKH-SJ200 Transfer/IC inq 2
7TRAinqX1355 Inq. Inq. Tray unit(L>R Front) 2011 1
7TRAmatL462 Matsunaga SD1320 Transformer/Variable Inq. 1
7TRAmatL475 Matsunaga SD1310 Transformer/Variable Inq. 1
7TRAmatL458 Matsunaga SD-135 Transformer/Variable Inq. 1
7TRAmatL460 Matsunaga SD-135 Transformer/Variable Inq. 3
7TRAmatL468 Matsunaga SD-131 Transformer/Variable Inq. 1
7TRAtakS884 Takatori TRF-P8 Transfer/Wafer 1996 1
7TRAtoyQ10313 Toyota inq Transport vehicles Simple AGV Key Cart 2021 1
7TURseiN5539 Seiko-Seiki STP-400C Turbo: turbomolecular 1992 1
7UTIcgtA10542 CGT MV-300S UTI:LED Vacuum mixer 2011 1
7UTIelgQ4902 ELGA PF3XXXXM1 Util: Water Purifier 2013 2
7UTIilxP5182 ILX Lightwave LDC-3722B Util: Laser Diode Controller Inq. 2
7UTIinqW5841 Inq. GFM-H002-201Z Util: Coil forming jig Inq. 1
7UTIkokK10743 KOKUSAI CX1000 util: Tube controller(w/SRAMcard) 1989 1
7UTImitQ9270 Mitsubishi Q02CPU UTI:Sequencer CPU unit inq. 50
7UTImitB7214 Mitsubishi RH-12FH5535-D Util: Robot 2014 3
7UTInewP5184 Newport 9016 & 9008 Util: Laser Diode Controller Inq. 2
7UTIoriK11331 ORION RKS400F-VS UTI:Unit Cooler inq. 1
7UTIoriK11332 ORION RKS400SV-A UTI:Unit Cooler inq. 1
7UTIsemR3022 Semicon Inq. Util: Clean Storage Cabinet 2003 1
7UTItmcA4593 TMC SEM-Base Util: Active inertial vibration cancellation system 2018 1
7UTItmcA4596 TMC STACIS 2100 Util: Active inertial vibration cancellation system 2018 1
7UTIyamB7215 Yamaha FXYX Util: Robot 2013 5
8ALIevgM11767 EVG EVG6200NT Aligner: Semi-Automated Bottom Sude Mask Aligner 2017 13
8CLEdnsK11081 DNS CW-1500 cle: Wet Station 2010 1
8CLEdnsA11420 DNS FC-821L Cle: Wet Station/8in 2001-2003 3
8CLEdnsA12161 DNS FC-821L Cle: Wet Station(PFC)/8in Inq. 1
8CLEdnsA12162 DNS FC-821L Cle: Wet Station(PFC)/8in Inq. 1
8CLEdnsA12163 DNS FC-821L Cle: Wet Station(PFC)/8in Inq. 1
8CLEs.eA12596 S.E.Techno BHF oxide remove cle: Auto oxide remove/8in Inq. 1
8CLEs.eA12595 S.E.Techno RCA clean Clean system: Auto/8in Inq. 1
8CLEsemA8521 Semitool Inq. cle: SRD/ 8in. Inq. 1
8CLEsunA12164 Sunglory Inq. Cleaning: Cassette Inq. 1
8COAkinG12717 KINGSEMI KS-S150-3C Coater/Developer 2021 1
8COAmseE10419 MSETEK VRD-8000 COA:Developer 2000 1
8COAtelG12091 TEL MARK Vz Coater developer 1996 1
8CVDappM12759 Applied Material P5000 CVD:Dry Etcher 1996 2
8CVDjusM12572 Jusung Eureka 2000 CVD: Low Thermal/8in Inq. 1
8DRYlamM12760 Lam Research Rainbow 4428 Dry: Poly Nitride Etcher 1994 3
8DRYtelM12762 TEL TE8500 Dry: Contact Etch 1996 5
8DRYulvR861 ULVAC VA2000 Dry Etch/8in. Inq. 1
8EPIasmM12570 ASM E2000 Epitaxial furnace Inq. 1
8EPIasmM12571 ASM Epsilon 2000+ Epitaxial furnace Inq. 5
8FURtemA8514 Tempress TS81254 Furnace/8in 2014 1
8FURtysA1689 TYSTAR TYTAN II Furnace 1998 3
8IONvarA12685 Varian EHP500 ion: Implanter/8in 1995 1
8OTHdisF12692 Disco DCS141 oth: Cleaning machine/Wafer Inq. 1
8PLAsscC896 SSC BPE2708SP Plating/Gold/8in. 2006 2
8STEcanH11475 Canon G-LINE STEPPER FPA-1550M2 Stepper Inq. 1
8STEcanH11476 Canon G-LINE STEPPER FPA-1550M3 Stepper Inq. 1
8STEnikF11974 Nikon NSR-2205i11D Stepper 1995 1
8STEnikG12090 Nikon NSR2005i8A Stepper/8in 1994 1
9ANAcreP10953 Credence ASL1000 Analog Tester 2002 2
9ANAcreP10954 Credence ASL1000 Analog Tester 2005 3
9ANAltxA7027 LTX Credence TS 88 Analog Tester Inq. 4
9ANAshiH2201 Shibasoku SCV8 Analog Tester 2012 1
9ANAshiE9648 SHIBASOKU WL205M ANA:Tester inq. 1
9ANAshiE9649 SHIBASOKU WL2055 ANA:Tester inq. 1
9ANAshiE10081 Shibasoku WL93CE ANA:Tester 2002 1
9ANAshiS2990 Shibasoku WL-933D Analog: Tester Inq. 1
9ANAshiS2991 Shibasoku WL-933J Analog: Tester 1993 1
9ANAterL12818 Teradyne A360 Analog Tester Inq. 2
9ANAterE10162 Teradyne A56AM ANA:Tester 2002 1
9ANAterE10164 Teradyne A560 ANA:Tester 1999 2
9ANAterE12469 Teradyne A560 Analog Tester Inq. 1
9ANAterS2992 Teradyne A563 Analog: Tester 1993 2
9ANAterS4266 Teradyne A563 Analog: Tester 2008 1
9ANAterS4267 Teradyne A563 Analog: Tester 2002 1
9ANAterE10082 Teradyne J921 ANA:Tester 1996 1
9ANAyokS1854 YOKOGAWA CD COM.MPX Analog: TS1000's Parts Inq. 1
9ANAyokS1855 YOKOGAWA PPAD Analog: TS1000's Parts Inq. 1
9ANAyokS1853 YOKOGAWA PPSA Analog: TS1000's Parts Inq. 1
9ANAyokS1857 YOKOGAWA PPSA Analog: TS1000's Parts Inq. 6
9ANAyokS1858 YOKOGAWA PPVI MAIN PBA Analog: TS1000's Parts Inq. 15
9ANAyokI1614 YOKOGAWA TS1000 Analog Tester 2000 3 analog
9ANAyokS1856 YOKOGAWA UVI Analog: TS1000's Parts Inq. 4
9ASSasmF12379 ASM ASM RnP rotator motor assy: Parts Inq. 1
9ASSasmF12378 ASM BGA1.42*1.42 SOCKET + PCB unit assy: Parts Inq. 1
9ASSbesF12375 Besi BGA4-WLCSP(PCB board card&Sorket) assy: Parts Inq. 1
9ASSbesF12371 Besi BOND HEAD THETA MOTOR assy: Parts Inq. 1
9ASSbesF12372 Besi BOND HEAD THETA MOTOR assy: Parts Inq. 1
9ASSbesF12373 Besi Bond head motor encord assy: Parts Inq. 1
9ASSbesF12374 Besi TOOTHED WHEEL THETA assy: Parts Inq. 1
9ASSmulF12376 Multitest PIN OPENER assy: Parts Inq. 1
9ASSnomM12119 Nomura Micro Science NDB-3 assy: CO2 Bubbler Inq. 2
9ASSsrmF12377 SRM tape index motor assy: Parts Inq. 1
9BONrheS1902 Rhesca PTR-10 Bond Tester 1998 1
9BUMulvP2731 ULVAC G-100DC Bump Inq. 1
9BURchuE12243 Chuo Riken BSD-164 Burn-in 1990 1
9BURchuE12244 Chuo Riken BSD-164C/CW Burn-in 2006 1
9BURfujE10304 Fujita FBS1000 Burn-in 1999/2000 4
9BURfujK6135 Fujita HA1200 Burn-in tester 2000 1
9BURfujK6136 Fujita HA11002XB Burn-in tester 2002 1
9BURfujE12247 Fujita HA-2200 Burn-in 1995-1999 4
9BURinqE12253 Inq. MAH-B Burn: inserter/extractor 1997 1
9BURinqE12254 Inq. MAHC-03 Burn: inserter/extractor 1997 1
9BURinqE12256 Inq. MAH-C Burn: inserter/extractor 1997-2000 3
9BURinqE12257 Inq. MAH-F Burn: inserter/extractor 2000 1
9BURjecE12246 JEC PROFIT 1100A Burn-in 1995-1996 3
9BURmeiE7057 MEISEI Electron SYSTEM-9 Burn-in 1990 1
9BURmicP12706 Micro Control Company HPB-5A Burn-In/Oven 2008 2
9BURnemE12255 NEM K120376 Burn: inserter/extractor 1991 1
9BURsseP7598 SSE B920+ Burn-in 2012 5
9BURstkE7058 STK Technology SYSTEM-9(VDD2) Burn-in 2003 1
9BURtodE10303 TODO TR8000CH-CS BUR:IC insertion/extraction 1999 1
9BURyamE12249 Yamada denon MB10000B2 Burn-in 1990 2
9BURyamS50 Yamada Den-On MB-10000WB2 Burn-in Oven 1991 1
9BURyokE12245 Yokogawa AF8630 Burn-in 1995-1997 3
9DICaccS2663 Accretech P-SM-2000A Dic: Die Sorter 1994 1
9DICadvP5007 Advanced Dicing Technologies ADT7900 Dicer Inq. 1
9DICalpP5651 ALPHAX LD2700HIL Dic: Die Sorter Inq. 3
9DICasmG10065 ASM MS100PLUS DIC:Die sorter inq 5
9DICasmA6236 ASM@ MS899I-DAL@@ Dic: Die Sorter Inq. 1
9DICasmA6237 ASM@ MS899 @ Dic: Mapping Die Sorter Inq. 6
9DICasmF11779 ASM MS90 dic: Map-sort system 2021 1
9DICazuQ6475 Azumi ASM2501 Dic: UV Irradiation System 2017 1
9DICbesH12436 BESI Laurier DS-9000 dic: Die Handling Sorting Inq. 1
9DICbroP7156 BROOKS AUTOMATION R2D Dic: Auto Cassette Transfer Inq. 1
9DICcanQ8550 Canon Machinery CAP-300U Dice picker Inq. 3
9DICdaiA12473 Daitron DPS-302R Dic: Scribe Machine Inq. 2
9DICdaiA3132 Daitron UVCS-15 Dicer 2011 3
9DICdelM9456 Delphi Laser Femto DIC:Laserdicing machine 2017 1
9DICdelG10067 DELPHILASER UltraBreaker DIC:Breaker inq 1
9DICdisE10949 DISCO DAD321 Dicer inq. 1
9DICdisF12691 Disco DAD641 Dicer Inq. 1
9DICdisF12713 Disco DAD322 Dicer 2010 1
9DICdisF12875 Disco DAD3350 Dicer Inq. 5
9DICdisF12876 Disco DAD3350 Dicer Inq. 5
9DICdisF12877 Disco DAD3350 Dicer Inq. 3
9DICdisH5118 Disco DAD520 Dicer 1994 1
9DICdisH5119 Disco DAD-2H/6T Dicer 1990 1
9DICdisH8010 DISCO DAD562 Dicer 1998 2
9DICdisK10433 DISCO DAD-640 Dicing saw 1996 1
9DICdisK10434 DISCO DAD-320 Dicing saw 1997 1
9DICdisM12520 Disco DAD3350 Dicing saw 2004 1
9DICdisP10926 DISCO DAD321 Dicer 2000 2
9DICdisP10927 DISCO DAD341 Dicer 1995/1999 2
9DICdisP6423 DISCO DAD320 Dicer Inq. 1
9DICdisP9676 DISCO@ DAD320 Dicer 1995 1
9DICdisQ12569 Disco DAD3350 Dicer Inq. 6
9DICdisQ12690 Disco DAD321 Dicer 1997 1
9DICdisS856 Disco DAD-320 Dicer 1993 1
9DICdisS857 Disco DAD-2H/6T Dicer 1988 1
9DICdisF9305 DISCO DAL7020 dic:Laser Saws inq. 2
9DICdisG10076 Disco DAL7020 DIC:Laser Saw inq 2
9DICdisE11963 Disco DFD641 Dicer 2000 1
9DICdisM11958 Disco DFD6341 Dicer 2012-2017 6
9DICdisM12263 Disco DFD6362 Dicer: Wafer Saw 2010 1
9DICdisM12264 Disco DFD6362 Dicer: Wafer Saw 2009 1
9DICdisM12711 Disco DFD6361 Dicer 2015 1
9DICdisM12855 Disco DFD6361 Dicer: 300mm Full cut Inq. 1
9DICdisP12437 Disco DFD6340 Dicer 2004 1
9DICdisP12438 Disco DFD6340 Dicer 2004 3
9DICdisP12439 Disco DFD6340 Dicer 2012 1
9DICdisP12834 Disco DFD6240 Dicer 2009 2
9DICdisA12521 Disco DFL7160 Dicer: Laser saw 2008 1
9DICdisF9303 DISCO DFL7020 dic:Laser Saws 2012 2
9DICdisF9304 DISCO DFL7020 dic:Laser Saws 2011 4
9DICdisH11414 Disco DFL7361 Dicer: Laser 2018 1
9DICdisH11415 Disco DFL7361 Dicer: Laser 2017 1
9DICdisM12265 Disco DFL7161 Dicer: Wafer Saw 2017 1
9DICdisM12266 Disco DFL7161 Dicer: Wafer Saw 2018 1
9DICdisM12859 Disco DFL7361 Dicer: Laser Inq. 1
9DICdisP11752 Disco DFL7340 Dicer:Laser Inq. 3
9DICdisP12412 Disco DFL7160 Dicing: Laser saw 2017 4
9DICdisP12621 Disco DFL7160 Dicer: Laser 2010 3
9DICdisP12622 Disco DFL7160 Dicer: Laser 2012 1
9DICdisP9858 DISCO inq Dicer & Grinders parts inq 1
9DICemtE11009 EMTEC WCS-811 DIC:Chip Sorter inq. 2
9DICemtE9737 EMTEC WCS-800 DIC:Die sorter 1999-2001 2
9DICeo M11091 EO Technics LMC3200G Dic: Laser Grooving 2016 1
9DICeoP5770 EO LMC3200C Dic: Grooving 2011 1
9DICesiA6234 ESI AccuScribe 2112 Dic: Automatic laser scriber Inq. 1
9DICinqG10072 inq FSTM-T2 DIC:Wafer Mounter inq 1
9DICintL11931 Intercon Technology SBS 8805 Dicing &Scribing machine 2001-2004 1
9DICjpsA6239 JPSA IX-300 ChromaDice266 Dic: DPSS Laser Wafer Scriber Inq. 1
9DIClinM11922 Lintec RAD-2000m/8 Dic: UV Irradiation System Inq. 13
9DIClinM12789 Lintec RAD-2010M/12 Dic: UV Irradiation System 2017 1
9DIClooP5177 Loomis Industries LSD-110 Dic: Breaking/Manual Inq. 1
9DIClooA8955 Loomis V5 Dic: Breaking Machine Inq. 2
9DICmedK6134 MEDEC/JCM MAT-100 Dic: BGA Tape Mounter 2007 1
9DICmicI5432 Micro Automation INC M-1006A Dicing Saw Inq. 1
9DICmitP9120 MIT C320 Dic: Die Sorter 2017 2
9DICmpiG10074 MPI LEDA-AS M76FP DIC:Die sorter inq 1
9DICmteK6117 Mtec(daitron) WC-SM-2700 Dic: Die Sorter 1997 4
9DICmteK6118 Mtec(daitron) WC-SM-2700 Dic: Die Sorter 1998 2
9DICmuhM10187 MUHLBAUER DSV ECO / W2W DIC:DIE SORTER 2018 1
9DICneoH6419 NEON TECH NBK 107 Dic: Wafer Breaking 2010 1
9DICnitE10478 NITTO NEL-1508N DIC :wafer mounter 1998 1
9DICnitS4460 Nitto UA8303 Dic: UV Irradiation System 1994 1
9DICnitP10204 NITTO UM810 DIC:UV irradiation 2004 1
9DICnitP10205 NITTO UM810 DIC:UV irradiation 2007 1
9DICn-tG10077 N-TEC BW300A DIC:LED Breaker inq 4
9DICoptA10524 OPTO OBM-90TP DIC:Breaking device inq 2
9DICoptA6235 OPTO OBM-90TP Dic: Breaking Inq. 2
9DICoptA9378 OPTO OBM-90TP DIC:Breaker inq. 2
9DICoptG10066 OPTO SYSTEM CO.LTD. OBM-90TP DIC:Breaker inq 2
9DICoptP1305 Opto System WBS-4000 Dic: Wafer Breaker 2008 2
9DICoptP1306 Opto System WBS-4000 Dic: Wafer Breaker 2009 3
9DICrokY10192 ROKKO RS7000G DIC:Sawing & Sorting Systems 2007 2
9DICseiA7004 SEIWA UV-1000M Dic: UV Irradiation System Inq. 3
9DICserA10530 SERVENO 6in/425 375 1000mm DIC:Wafer expander 1997 1
9DICstiP10198 STI I sortMaxx DIC:Pick & Place die sorter inq 8
9DICsunG10068 SUNTECH TECHNOLOGY STER-6 DIC:Wafer Expander inq 1
9DICtakE7658 Takatori ATM-9500 Dic: Wafer Mounter 2003 1
9DICtecE10403 TECHNOVISION UVC-408 DIC:UV irradiation 2017 1
9DICtokE10300 Tokyo Seimitsu A-WD-5000A Dicer 2002 1
9DICtskA6995 TSK A-WD-5000A Dicer Inq. 5
9DICtskH11788 TSK A-WD-300T Dicer 2006 1
9DICtskH11789 TSK A-WD-300T Dicer 2006 1
9DIEasmE12483 ASM AD830 Die bonder 2002 1
9DIEasmE12696 ASM AD896M-IL08 Die bonder 2004 1
9DIEasmF10931 ASM AD830PLUS Die bonder inq. 3
9DIEasmG7959 ASM AD896M Die bonder 2003 1
9DIEasmJ7614 ASM AD892-06 Die bonder 2010 1
9DIEasmL11855 ASM AD8912 Die Attacher 2008 1
9DIEasmL11856 ASM AD898 Die Attacher 2006 1
9DIEasmP10435 ASM AD830 Die Bonder inq 1
9DIEasmP3952 ASM AD819-PD Die Bonder Inq. 1
9DIEasmP3954 ASM AD881H Die Bonder Inq. 2
9DIEasmV3856 ASM AD8930 Die Bonder 2006 1
9DIEasmP9857 ASM inq Die: Various bonders parts inq 1
9DIEasmM12512 ASM ISLINDA Die Attacher Inq. 3
9DIEasmM12852 ASM ISLINDA Die Attacher Inq. 5
9DIEasmF12267 ASM Lotus-R Die bonder 2011 2
9DIEasmF10932 ASM TWIN832 Die bonder inq. 2
9DIEbesM12216 BESI/ ESEC 2007 SSI Plus Die bonder Inq. 1
9DIEbesM12217 BESI 2008xP Die bonder 2004 1
9DIEbesM12860 Besi 2200 Evo plus Die bonder Inq. 5
9DIEbesM12861 Besi 2200 Evo Die bonder: Multichip Inq. 6
9DIEbesM12026 BESI DATACON 2200 EVO Die bonder/ Multi chip 2011 1
9DIEbesF12304 Besi ESEC 2100XP PLUS Die bonder 2015 1
9DIEbesF12305 Besi ESEC 2100XP PLUS Die bonder 2015 1
9DIEbesF12306 Besi ESEC 2100XP PLUS Die bonder 2016 1
9DIEbesF12307 Besi ESEC 2100XP Die bonder 2016 1
9DIEbesF12308 Besi ESEC 2100HS Die bonder 2018 1
9DIEbesM12218 BESI EVO2200 Die: Multi chip die attach bonder Inq. 1
9DIEcanA4491 Canon BESTEM-D10Sp Die Bonder 2010 3
9DIEcanE12482 Canon BESTEM-D10FX Die bonder 2012 1
9DIEcanE12485 Canon BESTEM-D10sp Die bonder 2014 2
9DIEcanF10628 Canon BESTEM-D310 Die bonder 2015 1
9DIEcanF10629 Canon BESTEM-D11 Die bonder 2013 2
9DIEcanM11013 CANON BESTEM D02 Die Attach inq. 6
9DIEcanM11840 Canon BESTEM D01R Die bonder 2008 2
9DIEcanP11774 Canon Bestem-D10SP Die bonder 2013 1
9DIEcanE10167 CANON CPS-100VX Die Bonder 2000 1
9DIEcanE10168 CANON CPS-100VX Die Bonder 1997 1
9DIEcanE10169 CANON CPS-100VX Die Bonder 2001 1
9DIEcanE12440 Canon CPS-1800 Die Bonder 2000 1
9DIEcanK10899 CANON CPS-800 Die Bonder 1999 1
9DIEcanP1471 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1472 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1473 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1474 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1475 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1476 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1477 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1478 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanP1479 Canon Machinery CPS-400 Die Bonder Inq. 1
9DIEcanS2986 CANON CPS-100VX Die Bonder 1997-2000 3
9DIEdiaC11022 DIAS DA-3020 DIE:Aluminum Wire Bonder 2006 1
9DIEdisM12858 Disco DDS2300 Die Separator Inq. 1
9DIEemtO1882 EMTEC WCB-1000B Die Bonder Inq. 1
9DIEemtO1883 EMTEC WCB-1000C Die Bonder Inq. 1
9DIEeseC913 ESEC 2007 SC Die Bonder(Parts machine) Inq. 1
9DIEevgA12828 EVG EVG520 die:Wafer Bonder/6in. Inq. 1
9DIEfasS5251 FASFORD DB-500 Die Bonder Inq. 1
9DIEfouL12811 Four technos SDB1000TONO02 Die bonder Inq. 1
9DIEhitF10929 HITACHI DB700AD Die bonder inq. 2
9DIEhitF10930 HITACHI DB700 Die bonder inq. 24
9DIEhitP12617 Hitachi DB730AC Die bonder 2004 4
9DIEhitP12618 Hitachi DB730AC Die bonder 2005 1
9DIEhitE7061 HITACHI DB-730/730AC/730SP Die bonder 2004 1
9DIEjafP844 JAF SS-DT01 Die Bonder Inq. 2
9DIEjafP845 JAF SS-DT01 Die Bonder Inq. 1
9DIEkosA10527 KOSAKA KE-201K Die bonder 1997 1
9DIEkosA10528 KOSAKA KE-422 Die bonder 1998 1
9DIEkosA10529 KOSAKA KE-301K Die bonder 2015 1
9DIEkosE12484 Kosaka lab KE-522 Die bonder 2012 1
9DIEnecQ12065 NEC machinery BCOW die: Cure oven 2000 1
9DIEnecJ1359 NEC Machinery CAP300 Dies Picker 2003 1
9DIEnecJ1360 NEC Machinery CAP300 Dies Picker 2004 2
9DIEnecS38 NEC Machinery CAP-300 Dies Picker/6in. 2003 1
9DIEnecS39 NEC Machinery CAP-300 Dies Picker/6in. 2004 2
9DIEnecA6997 NEC CPS-100 Die Bonder Inq. 2
9DIEnecA6998 NEC CPS-100FMR Die Bonder Inq. 2
9DIEnecA6999 NEC CPS-100VX Die Bonder Inq. 6
9DIEnecQ12063 NEC machinery CPS-100VX Die bonder 2000 1
9DIEnecQ12064 NEC machinery CPS-100VX Die bonder 2000 1
9DIEnecS2987 NEC CPS-100FMR Die Bonder 1995 1
9DIEnidP843 Nidec Tosok DB2100 Die Bonder Inq. 1
9DIEpanE9010 Panasonic DM31H-S Die bonder Inq. 1
9DIEsciE9420 scientific ST-POSM Z DIE:tweezers bonder 2014 1
9DIEshiS3807 SHIBAURA FED-1760-FAM Die Bonder 2000 1
9DIEshiS3808 SHIBAURA FED-1760-FAM Die Bonder 2005 1
9DIEsusF11621 SUSS ABC200 Die: Wafer Bonder 2003 1
9DIEsusF11622 SUSS ABC200 Die: Wafer Bonder 2005 1
9DIEsusP10461 SUSS SB8e DIE:Wafer Bonder Inq 4
9DIEtosP5179 Tosok GD300 Die Bonder Inq. 1
9DIEtskS256 TSK P-SM-2000A Dies Picker/6in. 1994 1
9DIEultM11086 Ultron Systems UH130-12 Die-Matrix Expander 2011 1
9DISfetA11028 FET TEST 3600E DIS:Tester inq. 1
9DIStesG11441 Tesec 8101-TT Discrete Tester Inq. 11
9DIStesG11442 Tesec 8101-TT/A Discrete Tester Inq. 2
9DIStesG11443 Tesec 8101-TT/X Discrete Tester Inq. 1
9DIStesP851 Tesec 8101-TT Discrete Tester Inq. 1
9DIStesG11440 Tesec 881-TT/A Discrete Tester Inq. 19
9DIStesP1510 Tesec 881-TT Discrete Tester Inq. 1
9DIStesP1511 Tesec 881-TT Discrete Tester Inq. 1
9DIStesP1512 Tesec 881-TT Discrete Tester Inq. 1
9DIStesP1513 Tesec 881-TT Discrete Tester Inq. 1
9DIStesP1514 Tesec 881-TT Discrete Tester Inq. 1
9DIStesP1518 Tesec 881-TT 906HT Discrete Tester Inq. 1
9DIStesP1519 Tesec 881-TT 906HT Discrete Tester Inq. 1
9DIStesP1520 Tesec 881-TT 906HT Dis: Tester Inq. 1
9DIStesP1521 Tesec 881-TT 906HT Discrete Tester Inq. 1
9DIStesP2906 Tesec 881-TT/A Dis: Tester Inq. 2
9DIStesP1515 Tesec 931-TT Discrete Tester Inq. 1
9DIStesP1516 Tesec 931-TT Discrete Tester Inq. 1
9DIStesP1522 Tesec 971-TT 906HT Discrete Tester Inq. 1
9DIStesP1523 Tesec 971-TT 906HT Discrete Tester Inq. 1
9DIStesP1517 Tesec 9838-HT Discrete Tester Inq. 1
9DIStesX10032 TESEC TSC DIS:Tester 2000 1
9DIStesX10033 TESEC TSC DIS:Tester 1999 1
9FINshiH8239 Shibaura TFC-FB1C Final Bonder 2004 1
9FLIasmF12728 ASM AD9212PLUS Flip Chip Bonder 2016-2018 7
9FLIbesM11955 BESI ESEC 2100FC HS Flip Chip Bonder Inq. 1
9FLIdaiK10379 Daitron DMDB 200 Fli: Micro bonder/8in inq 1
9FLIhtcC661 HTC DAML2500 Flip Chip Bonder Inq. 1
9FLIhtcC906 HTC DAML- 2500 Flip Chip Bonder Inq. 1
9FLIkarQ12388 Karl Suss FC150 Flip Chip Bonder 2001 1
9FLImitE12239 Mitsubishi Electric MFFB-300A-01 Flip Chip Bonder 1998 1
9FLIpanE7657 Panasonic FB35W-M Flip Chip Bonder 2003 1
9FLIpanE7661 Panasonic FB35W-M Flip Chip Bonder Inq. 1
9FLIpanS938 Panasonic FB30T-M Flip Chip Bonder Inq. 1
9FLIpanN612 Panasonic FCB3 NM-SB50A Flip Chip Bonder 2004 1
9FLIsecG7815 SEC LCB3000 Flip: COG bonding machine 2003 2
9FLIshiH8240 Shibaura TFC-2000C Flip Chip Bonder 2004 1
9FLItorE12477 Toray Engineering CL2000FW Flip: COG bonder 2008 1
9FLItorP5180 Toray FC2000US Flip Chip Bonder 2015 1
9FLIyamS2740 YAMAHA YHP-2 Flip Chip Bonder 2012 2
9GRIbueE7095 BUEHLER EcoMet3 Grinder / Polisher Inq. 1
9GRIdisA12667 Disco DFG83H/6 Grinder/5in Inq. 1
9GRIgm P4280 GM ENGINEERING HPG-35D Grinder: Surface Inq. 1
9GRImarE10773 MARUTO MC-110 Grinder inq 1
9GRIntsG11823 NTS NSG-1050V Grinder Inq. 4
9GRIokaA12050 Okamoto SVG502MKU8 Grinder/4-6in Inq. 1
9GRIokaA6897 Okamoto VG502MKU-8 Grinder/6in. Inq. 2
9GRIspeP11753 Speedfam 24BSG Grinder Inq. 2
9GRIsuhF12380 suhwoo SG2000C GrinderFstrip 2016 1
9HANadvE11875 Advantest M4541A Handler 2004 2
9HANadvM12836 Advantest M4632A Handler Inq. 1
9HANadvH12010 ADVANTEST M6243 COK Handler/Memory Inq. 3
9HANadvX10730 Advantest M6542AD Handler 2004 2
9HANadvL11935 Advantest M6541AD Handler 2004 1
9HANadvF10938 ADVANTEST M6771AD Handler inq. 2
9HANadvF9280 ADVANTEST M6771AD Handler 2007 1
9HANadvL7953 ADVANTEST M6751AD Handler 2000 1
9HANadvP9389 ADVANTEST M6771AD Handler inq. 5
9HANadvP9390 ADVANTEST M6751AD Handler inq. 3
9HANaetL12813 Aetrium 5050 QTS Handler Inq. 2
9HANapiS20 Apic Yamada A206SP Handler 2003 3
9HANaseP1502 Aseco S-130 Handler Inq. 1
9HANaseP1503 Aseco S-130 Handler Inq. 1
9HANasmF12321 ASM FT2018 Handler 2015 1
9HANasmF12322 ASM FT2018 Handler 2017 1
9HANcohM12837 Cohu/ Delta Design Castle LX Handler Inq. 3
9HANcohM12237 Cohu Delta MATRiX Handler: Pick and Place Test handler 2021 2
9HANdjtE12251 DJTECH SAH-8400 Handler 2011 1
9HANepsC664 EPSON E5040 Handler Inq. 1
9HANepsE10308 EPSON NS7080 Handler inq 1
9HANgh-E7676 GH-TECHNOLOGY GHL-1E Handler/IC 2007 1
9HANgh-E7677 GH-TECHNOLOGY GHL-1E Handler/IC 2007 1
9HANhitS4461 HITACHI ET-1012 Handler 2004 1
9HANhonF10935 HonTech HT3016 Handler inq. 1
9HANhonF10936 HonTech HT3309 Handler inq. 1
9HANhonM11957 Hon Tech HT3016 HandlerFTest Inq. 5
9HANhonP10359 HONTECH HT3309 Handler 2014 1
9HANhonY10189 HON. PRECISION HT3600 Handler 2012 1
9HANhonY10190 HON. PRECISION HT3309 Handler 2007-2008 4
9HANhonF10937 HonTech HT7045 Handler inq. 8
9HANhonY10191 HON. PRECISION HT9040 Handler 2004 1
9HANhonM12838 Hon Tech HT-1042 Handler 2016 1
9HANhonM12839 Hon Tech HT-9045C Handler/CMOS 2015 1
9HANhonM12840 Hon Tech HT-7045A Handler 2016 1
9HANhonP5774 Hontec HT-3309A Handler 2009 1
9HANhonP9724 HON TECH HT-3309 Handler inq. 2
9HANhonP9974 HON TECH HT-9040S Handler inq 2
9HANhonV5187 Hon.Tech HT-5064 Handler 2013 2
9HANinqC663 Inq. C3400 Handler Inq. 1
9HANinqC665 Inq. Y110H Handler Inq. 3
9HANinqC666 Inq. Y6110 Handler Inq. 3
9HANismX10028 ISMECA NX16-SD Handler 2009 1
9HANismX10029 ISMECA NX16-SD Handler 2010 2
9HANismX10030 ISMECA NX16-SD Handler 2012 3
9HANismX10823 Ismeca NX16SD Handler 2011 5
9HANkskE7673 KSK Inq. Handler/SIP 2006 1
9HANkuwS42 Kuwano LT-930-H222 Handler 1991 1
9HANkuwS43 Kuwano LT-930-H222 Handler 2000 1
9HANkuwS44 Kuwano LT-930-H222 Handler 1990 1
9HANkuwS45 Kuwano LT-930-H222 Handler 1992 1
9HANkuwS46 Kuwano LT-930-H222 Handler 1990 1
9HANltxF12318 LTXC(Multitest) Instrip Handler 2015 1
9HANltxF12319 LTXC(Multitest) Instrip Handler 2015 1
9HANltxF12320 LTXC(Multitest) Instrip Handler 2016 1
9HANmctL12814 MCT 3608 Handler Inq. 5
9HANmirP4042 MIRAE MR7500 Handler 2010 12
9HANmirP4043 MIRAE MR7500 Handler 2010 10
9HANmulP1301 Multitest 8704I Handler 1999 1
9HANmulP4556 Multitest MT8704 Handler 2003 1
9HANmulM11128 MULTITEST MT9918 Handler 2004 1
9HANmulM11129 MULTITEST MT9308 Handler 2014 1
9HANmulM11130 MULTITEST MT9308 Handler 2006 1
9HANpenF12328 Pentamaster PM42ST han: Vision Inspection Handler 2014 1
9HANpntH10589 PNT HS800B Handler/ 2018Modified Inq. 1
9HANpntH10590 PNT HS800B Handler/ 2019Modified Inq. 1
9HANrasX10031 RASCO SO1000 Handler 2006 1
9HANseiP12742 SEIKO EPSON NS6040 Handler 2004-2006 8
9HANseiP12743 SEIKO EPSON NS6040W Handler 2004-2006 5
9HANsowA7028 SOWA TH-285 Handler Inq. 9
9HANspeP8687 SPEA H3560 Handler Inq. 1
9HANsrmH2202 SRM F248 Handler 2013 1
9HANsrmF12323 SRM HW20 Handler 2016 1
9HANsrmF12324 SRM HW20 Handler 2016 1
9HANsrmF12325 SRM HW20 Handler 2016 1
9HANsrmX10026 SRM S248 Handler 2004 1
9HANsrmX10027 SRM S248 Handler 2003 1
9HANsrmP12431 SRM S248 Handler Inq. 4
9HANsrmX10822 SRM SRMD248 Handler 2004 4
9HANsrmX10824 SRM SRMD248 Handler 2005 1
9HANsrmH8298 SRM XD246 Handler 2012 2
9HANsrmP12432 SRM XD208 Handler Inq. 6
9HANsrmP12433 SRM XD248 Handler Inq. 7
9HANsrmP8427 SRM XD208 Handler Inq. 5
9HANstiH8296 STI AT268 (8 test sites) Handler 2011 1
9HANstiH8297 STI AT268 (4 test sites) Handler 2011 1
9HANsynE12252 SYNAX SX1101H2 Handler 2004 1
9HANsynM12841 Synax SX1221 Handler Inq. 1
9HANsynH3588 SYNAX SX-1211 Handler Inq. 1
9HANsynP6880 SYNAX SYNAX1211 Handler: Test Handler Inq. 2
9HANtecX9911 TechWing TW350H HANDLERS 2009 2
9HANtecX9912 TechWing TW350H HANDLERS 2010 2
9HANtesP1501 Tesec 3503-PH Handler Inq. 1
9HANtesP1507 Tesec 786-HT Handler Inq. 1
9HANtesP852 Tesec 8017-PH Handler Inq. 1
9HANtesP1504 Tesec 8404-IH Handler Inq. 1
9HANtesP1506 Tesec 8713-PH Handler Inq. 1
9HANtesP1505 Tesec 906HT Handler Inq. 1
9HANtesP1500 Tesec 9408-PH Handler Inq. 1
9HANtesP1497 Tesec 9538-HT Handler Inq. 1
9HANtesP1498 Tesec 9538-HT Handler Inq. 1
9HANtesP1499 Tesec 9538-HT Handler Inq. 1
9HANtesP1496 Tesec 9835-HT Handler Inq. 1
9HANtesE10305 Tesec HS2000 Handler inq 1
9HANtohS971 Tohoku seiki Inq. Han: Change kit (for Hanler) 2012 1
9HANy.aE12248 Y.A.C. A-282D Handler 2007-2010 4
9HANyokH10764 YOKOGAWA AH9610 Handler 2007 1
9HANyokH10827 YOKOGAWA AH9610 Handler Inq 1
9HANyokS1430 YOKOGAWA LT-930V Handler Inq. 1
9HANyokS1431 YOKOGAWA LT-935SV Handler Inq. 1
9HIGtesN4407 Tesec 7818-HV High Voltage Unit Inq. 1
9LASesiP10637 ESI 9850TPIR+ Laser Repair Inq. 5
9LCDadvH10836 ADVANTEST T6371 LCD Driver Tester Inq 1
9LCDadvH9028 ADVANTEST T6371 LCD driver tester(Board) Inq. 1
9LCDadvP10421 Advantest T6373 LCD driver tester 2013 1
9LCDadvP10422 Advantest T6373 LCD driver tester 2013 1
9LCDadvE11972 Advantest T7313 LCD: Logic Tester 1996 1
9LCDyokH5467 Yokogawa ST6730 LCD Driver IC Tester Inq. 1
9LCDyokP12511 Yokogawa ST6730 LCD driver tester Inq. 1
9LCDyokP12824 Yokogawa ST6730 LCD tester Inq. 3
9LCDyokP6747 Yokogawa ST6730 LCD driver tester Inq. 2
9LCDyokP6748 Yokogawa ST6731 LCD driver tester Inq. 8
9LCDyokH5468 Yokogawa TS670 LCD Driver IC Tester Inq. 2
9LCDyokK11444 Yokogawa TS6700 LCD: driver tester 2004 1
9LCDyokE10079 Yokogawa TS700 LCD:Tester 1996 1
9LEDistA983 iST LM-80 LED Tester Inq. 1
9LEDquaG10062 Quatek WEI-LED 617 LED chip tester inq 5
9MEMadvQ7358 Advantest/AT Engineering Inq. Mem: Performance board for T-5334 2008 4
9MEMadvQ7360 Advantest/AT Engineering Inq. Mem: Performance board for T-5334 2008 1
9MEMadvQ9515 Advantest T3335P Memory Tester 1990 1
9MEMadv6451 ADVANETEST T5371 Memory: Tester 2000 1
9MEMadvA12120 Advantest T5335P Memory Tester/12in Inq. 1
9MEMadvA12121 Advantest T5371 Memory Tester/12in Inq. 1
9MEMadvE11155 ADVANTEST T5335P MEM:Tester 1998 2
9MEMadvL11932 Advantest T5376 Memory Tester 2002 1
9MEMadvL11933 Advantest T5376 Memory Tester 2002 1
9MEMadvL11934 Advantest T5376 Memory Tester 2004 1
9MEMadvL7954 ADVANTEST T5371 Memory: Tester 2001 1
9MEMadvP7742 ADVANTEST T5335P Memory Tester Inq. 5
9MEMadvP9388 ADVANTEST T5376 Memory Tester inq. 1
9MEMadvS4450 ADVANTEST T5335P Memory Tester Inq. 1
9MEMadvX10728 Advantest T5585 Memory Tester 2004 1
9MEMadvX10729 Advantest T5593 Memory Tester 2004 1
9MEMadvP840 ADVANTEST T5571 Memory Tester 1997 1
9MEMadvP841 ADVANTEST T5501 Memory Tester 2005 1
9MEMadvP10586 Advantest T5593 Memory Tester 2004 1
9MEMadvP11756 ADVANTEST T5571 Memory Tester Inq. 1
9MEMadvP11757 ADVANTEST T5575 Memory Tester Inq. 1
9MEMadvP11758 ADVANTEST T5588 Memory Tester Inq. 1
9MEMadvP11759 ADVANTEST T5593 Memory Tester Inq. 2
9MEMadvP11760 ADVANTEST T5581 Memory Tester Inq. 1
9MEMadvP11761 ADVANTEST T5585 Memory Tester Inq. 2
9MEMadvP11948 Advantest T5503A Memory Tester Inq. 3
9MEMadvP12638 Advantest T5503A(+TW350H) Memory Tester Inq. 1
9MEMadvP11841 ADVANTEST T5833 Memory Tester Inq. 1
9MEMat Q7357 AT Engineering Inq. Mem: Performance board for T-5334 2007 1
9MEMat Q7359 AT Engineering Inq. Mem: Performance board for T-5334 2008 4
9MEMat Q7361 AT Engineering Inq. Mem: Performance board for T5334 48 FHK 2009 1
9MEMfujQ7466 Fujita BT1064 Memory tester 2011 1
9MEMfujQ7826 FUJITA BT1064F Memory Tester 2011 1
9MEMinnE10766 Innovations RAMcheck LX MEM:ROM writer inq 1
9MEMnexM12803 NEXTEST Maverick Mem: Logic Tester 2012-2013 16
9MEMterH12011 Teradyne Magnum CAL Board Memory Tester Inq. 4
9MEMturV5188 TurboCATS TCV-1200 Memory test system Inq. 2
9MIXaccP11333 Accotest STS8300 MIX:AnalogPower&Mixed SignalTester 2022 5
9MIXkdkA1709 KDK SM-1026 Mixed Signal Tester Inq. 4
9MIXkdkA1710 KDK SM-2099 Mixed Signal Tester Inq. 6
9MIXkdkP1524 KDK SM-2002 Mixed Signal Tester Inq. 1
9MIXkdkP1525 KDK SM-2002 Mixed Signal Tester Inq. 1
9MIXkdkP1526 KDK SM-2002 Mixed Signal Tester Inq. 1
9MIXkdkP1527 KDK SM-2002 Mixed Signal Tester Inq. 1
9MIXkdkP1528 KDK SM-2002 Mixed Signal Tester Inq. 1
9MIXkdkP1529 KDK SM-2002 Mixed Signal Tester Inq. 1
9MIXltxP11237 LTX ASL1000 Mixed signal Tester inq. 14
9MIXltxP12408 LTX ASL1000/White cover Mixed Signal Tester Inq. 4
9MIXltxP12409 LTX ASL1000/White cover Mixed Signal Tester Inq. 1
9MIXltxP12410 LTX ASL1000/Blue cover Mixed Signal Tester Inq. 1
9MIXltxP12411 LTX ASL1000/Blue cover Mixed Signal Tester Inq. 1
9MIXltxX10034 LTX-CREDENCE FUS-CX MIX:Tester 2000 1
9MIXltxX10035 LTX-CREDENCE FUS-CX MIX:Tester 2003 1
9MIXltxX10036 LTX-CREDENCE FUS-CX MIX:Tester 2007 1
9MIXltxP12179 LTX Credence Fusion MX Mixed Signal Tester 2008 1
9MIXltxP12507 LTX Credence Fusion MX Mixed Signal Tester 2008-2011 2
9MIXltxM12804 LTX SYNCHRO MASTER Mixed Signal Tester 1989-2000 2
9MIXspaE11721 Spandnix SX-1300 Mixed Signal Tester Inq. 19
9MIXspaP8621 SPANDNIX SX-1300 Mixed Signal Tester Inq. 8
9MIXspaP8622 SPANDNIX SX-1600 Mixed Signal Tester Inq. 5
9MIXspaP8623 SPANDNIX SX-2100 Mixed Signal Tester 2010 1
9MIXterM12114 Teradyne A565 Mixed Signal Tester 1999 1
9MIXterM12115 Teradyne A565 Mixed Signal Tester 2000 1
9MIXterM12116 Teradyne A565 Mixed Signal Tester 2001 1
9MIXterM12117 Teradyne A565 Mixed Signal Tester 2003 1
9MIXterM12118 Teradyne A565 Mixed Signal Tester 2006 1
9MIXterM12694 Teradyne Catalyst Mixed Signal Tester Inq. 5
9MIXyokE12744 Yokogawa TS1000 Mix: Tester 1990-1998 4
9MIXyokE10163 Yokogawa TS900 MIX:Tester inq 1
9PACapiM12302 APIC YAMADA GTM-X Package: Molding Inq. 1
9PACapiE7106 APIC YAMADA MAPS-370J Packaging: Singulation system 2011 1
9PACapiA7008 APIC YAMADA MU-339 Pac: Auto mold Press Inq. 2
9PACapiA7009 APIC YAMADA MU-560 Pac: Auto mold Press Inq. 1
9PACapiA7007 APIC YAMADA MW-167 Pac: Auto mold Press Inq. 5
9PACappL9980 Applied 002 250A 1005001 PAC:MOLD PRESS/Cylinder 2010-2019 20
9PACasmC656 ASM IDEALmold Package: Auto Molding System/Reel to Reel 2007 1
9PACasmC657 ASM IDEALmold Package: Auto Molding System/Reel to Reel 2012 1
9PACasmF10928 ASM IDEALmold PAC:Molding inq. 1
9PACasmM6945 ASM ORCAS MANUAL Package: Molding 2017 1
9PACasmM6946 ASM ORCAS DISPENSING Package: Molding 2017 1
9PACasmM6947 ASM ORCAS TROLLEY Package: Molding 2017 1
9PACbesM8561 BESI Compact Line Package: Molding 2006-10 4
9PACcanS21 Canon Machinery CAP500 Package Picker 2005 1
9PACcanS22 Canon Machinery CAP500 Package Picker 2005 1
9PACcanS23 Canon Machinery CAP500 Package Picker 2007 1
9PACcniH5258 CNI Technology Sigma1000 Pac: EMI sputtering shield 2014 1
9PACcreM12479 Crest Ultrasonic(Forward Technology) SA200 pac: Solvent Degreaser System 1999 1
9PACdaiL8258 DAI-ICHI GP-PRO8-DP80 Package: Molding/Auto 2001 1
9PACdieM11916 Diener electronic GmbH + Co KG NANO Pac: Plasma system Inq. 35
9PACeo M11092 EO Technics CSM3002 HD Pac: Laser marker 2013 1
9PACepsS3493 EPSON C4-A901S PAC: 6-Axis Robots Inq. 1
9PACfujE12084 FUJIWA@SEIKI TEP200-70 pac: Molding Machine Inq. 1
9PACharE12701 Harmo HMC-F743A pac: Mold temperature controller 2012 1
9PAChunM9665 Hung TAI G180T PAC:Horizontal Injection molding 2003 1
9PAChunM9663 Hung TAI G35T PAC:Horizontal Injection molding 2001 1
9PAChunM9664 Hung TAI G50T PAC:Horizontal Injection molding 2003-2008 5
9PACicoE10307 ICOS CI-8250 PAC:Scanner 2000 1
9PACicoE12240 ICOS CI-8250 Pac: Visual Inspection Inq. 1
9PACicoP12406 ICOS CI-T120 Pac: Lead scanner 2010 1
9PACicoP12631 ICOS T120 pac: visual inspection 2015 1
9PACinqG10075 inq PLASMAX-601A PAC:Plasma cleaner inq 1
9PACjswL9698 JSW J75E II PAC:Injection Molding Machine 1994 1
9PACkaoL9981 Kao Kong ST-250 PAC:MOLD PRESS/Cylinder 2011 1
9PACkaoL9982 Kao Kong ST-250L PAC:MOLD PRESS/Cylinder 2011 1
9PACkeyQ6613 Keyence ML-Z9550 Pac: Laser marker/Co2 2010 1
9PACkeyQ8404 Keyence ML-G9300 Pac: Laser marker/Co2 2005 1
9PACklaE11070 KLA/ICOS CI-8250 PAC:Inspection machine 1998 1
9PACklaE12258 KLA(ICOS) CI-8250CY Pac: Lead Inspection System 2000 1
9PACkohA7005 KOHTAKI KTS-200-5ES Pac: Manual mold Press Inq. 3
9PACkohA7006 KOHTAKI KTS-250-5R Pac: Manual mold Press Inq. 3
9PAClegA8679 LEGNDST L3000 Pac: Capping 2020 1
9PAClegA8680 LEGNDST L3000 Pac: Capping 2020 1
9PAClegA9821 LEGENDST L3000 PAC:Capping Machine 2020 4
9PACmacA7010 Macoho SLX-702 Pac: Deflash Inq. 1
9PACmacA7011 Macoho SLX-705 Pac: Deflash Inq. 2
9PACmecA7012 Meco EPL 1080S Pac: Plating line Inq. 1
9PACmitE12444 Mitsubishi MATM-420C-05 Package: Molding 2000 1
9PACmulM9667 Multiplas V4-3R-55T-G PAC:Vertical injection molding 2008-2012 7
9PACnecS4403 NEC FAMS-MTR Packaging tools Inq. 1
9PACnecS4404 NEC FAMS-CMT Packaging tools Inq. 2
9PACnecS4568 NEC FAMS-SR Packaging tool: Molding Inq. 1
9PACnecS4569 NEC FAMS-S Packaging tool: Molding Inq. 1
9PACnecS4570 NEC ST-200 Pac: Molding Inq. 3
9PAComrQ11185 Omron SL473D2 PAC:Laser marker 1996 1
9PAComrQ11186 Omron SL473D2 PAC:Laser marker 1992 1
9PACoriA8675 ORIGIN CS3-2.1 Pac: Capping 2020 1
9PACoriA9129 ORIGIN JPF-3A Pac: Capping 2003 1
9PACoriA8677 ORIGIN LD-3000A Pac: Capping 2016 1
9PACpanE9888 Panasonic PC32P-M PAC:PLASMA CLEANING SYSTEM Inq 1
9PACpanP12623 Panasonic PSX307S-Type Pac: Plasma system 2012 1
9PACshiL12809 Shibaura Inq. pac: YAG Laser marker Inq. 1
9PACshiM12462 Shibuya SBM351 pac: BGA Ball mounter 2005 1
9PACsodL8576 Sodick TR 20EH Pac: Injection Molding Machine 2001 1
9PACsodE12699 Sodick TR20VRE pac: Injection Molding Machine 2012 1
9PACsowA7013 SOWA MK-605 Pac: Laser marker Inq. 1
9PACsowA7014 SOWA MK-621 Pac: Laser marker Inq. 1
9PACstiP7797 STI TR98 Pac: AOI 2006-11 2
9PACstiP12407 STI TR-48V Pac: Lead scanner 2012 1
9PACstoP6886 STOCK LM-1000 Pac: Laser Mark-CO2 Inq. 3
9PACstoE12703 Stolz NS-15 pac: Dehumidifying Dryer/ Mold 2012 1
9PACstoE12702 Stolz SX-160 pac: Low speed axial crusher/ Mold 2012 1
9PACsumP10463 Sumitomo SY-COMP30 PAC:MOLD 2010/2012 2
9PACsunS4241 SUNX LP-V15U Pac: Laser Marker Inq. 1
9PACsynE12054 Synex R2000 Package: Molding 2003 2
9PACtakE7080 TAKAKI Factory LMH-3000 Pac: Laser marker 2007 1
9PACtakE7085 TAKAKI Factory TMT-2000 Packaging system 2010 1
9PACtakM12826 TAKARA TTM-11 pac: Auto Mold 2006 1
9PACtfA984 TF JF-680A Pac: Vacuum Sealer Inq. 1
9PACtopQ9301 TOPCON Vi-4202@ pac:Chip visual inspection equipment 2012 2
9PACtopQ9307 TOPCON Vi-4202@ pac:Chip visual inspection equipment 2013 1
9PACtosA12643 Toshiba Inq. Pac: Laser marker Inq. 1
9PACtowF12366 TOWA MOLD CHASE FOR LGA pac: MD mold Inq. 1
9PACtowH9849 TOWA UPS 120N-EX PAC:Mold 1997 1
9PACtowE12441 TOWA Y-1 Package: Molding 2004 1
9PACtoyL9697 Toyo PLASTAR Ti-30H PAC:Injection Molding Machine 1997 1
9PACvisG8357 Viscom S3088 AV Pac: AOI Inq. 1
9PACyasE12055 Yasunaga LI700-HS/T Package: IC Scanner 2003 1
9PACyasE12241 Yasunaga LI-700HS/T Pac: Lead Inspection System 2000 1
9PACyasE12259 Yasunaga LI-700HS/T Pac: Visual Inspection 1998 1
9PACyasE7119 Yasunaga LI-700B Pac: Visual Inspection 2006 1
9PACyasE12442 Yasunaga MH-100 Pac: Laser marker 2001 1
9PROeg M12879 EG prober 4090u Prober Inq. 1
9PROeleA8519 Electroglas 2001XPPC Probe/6in. Inq. 1
9PROeleM12843 Electroglas 4090u Prober Inq. 1
9PROesjR166 ESJ TPS-8000 Probe: TDDB Probe Station/8in. Inq. 1
9PROgigA5683 Gigatest GTL-4040 Probe station: High frequency /12in. Inq. 1
9PROmitU7773 MITUTOYO BND-Crysta C776 Probe: CMM 2005 1
9PROmitU7774 MITUTOYO CRT-A C544 Probe: CMM 1997 1
9PROmpiC953 MPI LEDA-8F Prober 2008 1
9PROmpiG10063 MPI CORPORATION LEDA-8F 3GPLus-VB Prober inq 7
9PROmpiG10071 MPI LEDA-8F 3G Plus-VB Prober inq 1
9PROoyaQ6510 Oyama OYM-401 Prober 2000 1
9PROseiQ12270 Seica PILOT V8XL probe: Flying Probe Tester 2022 1
9PROseiQ12508 Seica PILOT V8XL probe: Flying Probe Tester 2022 1
9PROsemM10148 SEMICS OPUS III Prober Station inq 1
9PROsemM12449 Semics OpusV Prober: SLT Wafer prober 2008 9
9PROsemM9457 SEMICS OPUS II Prober: Wafer inq. 3
9PROtclE9126 TCL MODEL-150 Prober Inq. 1
9PROtelE12470 TEL 20SR Prober 1985-1990 7
9PROtelQ9542 TEL 20S prober inq. 4
9PROtelM12854 TEL P12XL Prober Inq. 11
9PROtelP9642 TEL P12 Prober inq. 2
9PROtelA12122 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12123 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12124 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12125 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12126 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12127 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12128 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelA12129 TEL P-12XLn+ Prober/12in Inq. 1
9PROtelE12880 TEL P-8XL Prober 2001-2002 5
9PROtokE10119 Tokyo Electron 20SR Prober 1993 1
9PROtokE10123 Tokyo Electron 20SR Prober 1991 1
9PROtokE10124 Tokyo Electron 20SR Prober 1997 1
9PROtokE10125 Tokyo Electron 20SR Prober 1988 5
9PROtokE10126 Tokyo Electron 20SR Prober 1989 5
9PROtokE10127 Tokyo Electron 20SR Prober 1990 5
9PROtokE10128 Tokyo Electron 20SR Prober 1994 2
9PROtokP2613 Tokyo Cathode Laboratory 5050VS Probe Card Inspection System 1995 1
9PROtokE10120 Tokyo Electron 80W Prober 1995 4
9PROtokP2614 Tokyo Cathode Laboratory M5150 Probe Card Inspection System 2000 1
9PROtokM11648 TOKYO SEIMITSU UF200 Prober Inq. 1
9PROtokP11238 Tokyo Seimitsu UF3000 Probe inq. 1
9PROtskE9122 TSK A-PM-60C Prober Inq. 2
9PROtskE9123 TSK A-PM-50A Prober 2002-2004 5
9PROtskN105 TSK A-PM-50A Prober/6in. Inq. 1
9PROtskP9641 TSK@ A-PM-90A Prober inq. 1
9PROtskP9645 TSK A-PM-90A Prober inq. 1
9PROtskN104 TSK EM-20-100 Prober/6in. 1987 1
9PROtskH6225 TSK MHF4000EX Prober Hinge Manipulator 2014 7
9PROtskP1552 TSK MHF300A Prober-Hinge Inq. 6
9PROtskP12741 TSK/Accretech UF190A Prober 2000 1
9PROtskQ12865 TSK/Accretech UF190B Prober 2008 1
9PROtskH10588 TSK UF200SA Prober 2003 1
9PROtskH10934 TSK UF200S Prober 2003 1
9PROtskP12733 TSK/Accretech UF200 Prober 2000 4
9PROtskP12734 TSK/Accretech UF200 Prober 2001 1
9PROtskP12735 TSK/Accretech UF200 Prober 2004 1
9PROtskP12736 TSK/Accretech UF200A Prober 2007 4
9PROtskP12737 TSK/Accretech UF200A Prober 2000 1
9PROtskP12738 TSK/Accretech UF200A Prober 2004 1
9PROtskP12739 TSK/Accretech UF200SA Prober 2004 1
9PROtskP12740 TSK/Accretech UF200SA Prober 2005 1
9PROtskP12866 TSK/Accretech UF200 Prober Inq. 5
9PROtskP12867 TSK/Accretech UF200S Prober Inq. 3
9PROtskP12868 TSK/Accretech UF200A Prober Inq. 1
9PROtskP9639 TSK@ UF200SA Prober inq. 1
9PROtskP9643 TSK@ UF200 Prober inq. 3
9PROtskH11830 TSK/Accretech UF3000EX-e Prober 2015 1
9PROtskM12450 TSK/ACCRETECH UF3000 Prober/12in. 2008 6
9PROtskM12857 TSK/Accretech UF3000EX Prober Inq. 1
9PROtskP10423 TSK UF3000LX Prober 2015 2
9PROtskP12825 TSK/ACCRETECH UF3000 Prober Inq. 3
9PROtskP9640 TSK@ UF300 Prober inq. 1
9PROtskP9644 TSK UF300 Prober inq. 1
9PROwenE9125 Wentworth 2801 Prober Inq. 1
9PROwenE9124 Wentworth AWP1050 ATC Prober Inq. 1
9PROwenE9328 WENTWORTH AWP1080@MTC Prober inq. 1
9RFIcreP10883 Credence ASL3000 RFI:tester inq. 3
9RFIkeyP10388 Keysight E6640A RFI:EXM wireless test inq 6
9RFIltxP12758 LTX ASL3000RF Rfi: RF Tester Inq. 2
9RFInatH7262 NATIONAL INSTRUMENTS NI STS T4 RFPA Rfi: RF Tester 2017 1
9RFInatH7263 NATIONAL INSTRUMENTS NI STS T4 RFPA Rfi: RF Tester 2018 1
9RFInatP12525 national instruments STS-T2+ rfic: RF tester Inq. 7
9RFIshiE12463 Shibasoku WL93-ACE rfic: Tester Inq. 1
9RFIyokE11891 Yokogawa TS-1000 RFIC: Tester 1997-1998 2
9SOCadvP9677 ADVANTEST 93000 Soc Tester inq. 3
9SOCadvH11159 ADVANTEST T2000 ISS 3G ICAPA SOC:Tester 2019 1
9SOCadvH11160 ADVANTEST T2000 ISS 3G ICAPA SOC:Tester 2016 1
9SOCadvH11161 ADVANTEST T2000 ISS 1.2G ICAPA SOC:Tester 2015 1
9SOCadvH11162 ADVANTEST T2000 ISS 1.2G ICAPA SOC:Tester 2014 1
9SOCadvE12465 Advantest T6575 SoC Tester 2005-2007 2
9SOCadvE12466 Advantest T6573 SoC Tester 2001-2002 2
9SOCadvP10885 Advantest T6575 Soc tester inq. 2
9SOCadvM10782 ADVANTEST V93000 SoC:Logic and Memory Tester 2011 2
9SOCadvM10783 ADVANTEST V93000 SoC:Logic and Memory Tester 2010 3
9SOCadvM10784 ADVANTEST V93000 SoC:Logic and Memory Tester 2008 1
9SOCadvM12108 Advantest V93000 SoC Tester Inq. 1
9SOCagiP12634 Agilent 93000 C400e SoC Tester Inq. 1
9SOCagiP12635 Agilent 93000 C400e SoC Tester Inq. 1
9SOCagiS1241 Agilent V1008 SoC Tester Inq. 5
9SOCcreF9279 credence D10 SOC:tester 2007 1
9SOCintP5281 Inter Action IA-OPT385W SOC: Illuminator Inq. 1
9SOCltxF10939 LTX-Credence D10 SOC:Tester inq. 1
9SOCltxF12315 LTX-Credence Diamond X SoC Tester 2015 1
9SOCltxF12316 LTX-Credence Diamond X SoC Tester 2015 1
9SOCltxF12317 LTX-Credence Diamond X SoC Tester 2016 1
9SOCltxP9514 LTX-CREDENCE Sapphire SOC Tester inq. 1
9SOCterP12435 Teradyne Board: IT-133-00 SoC: J750EX DSMTO Inq. 28
9SOCterP8432 Teradyne IFLEX Soc: Tester Inq. 1
9SOCterE12041 Teradyne IP750EMP SoC Tester Inq. 2
9SOCterH10587 Teradyne IP750 SoC: CIS Tester Inq. 1
9SOCterE11276 TERADYNE J750 SoC:IC Tester 2004-2010 5
9SOCterM11992 TERADYNE J750 SOC: Tester 2010 20
9SOCterM12292 TERADYNE J750 SOC: Tester Inq. 2
9SOCterM12293 TERADYNE J750 SOC: Tester Inq. 3
9SOCterM12448 Teradyne J750EX SoC Tester 2018 15
9SOCterP11362 Teradyne J750EX-HD SOC TESTER 2022 8
9SOCterP12523 Teradyne J750EX soc: Logic Tester 2012 1
9SOCterP12524 Teradyne J750 soc: Logic Tester 2005 1
9SOCterP9536 Teradyne J750 SOC:POGO Tower inq. 12
9SOCterP9678 TERADYNE J750 Soc Tester inq. 1
9SOCterP12522 Teradyne MicroFlex soc: Logic Tester Inq. 1
9SOCterF10940 Teradyne PICKFLEX SOC:Tester inq. 1
9SOCterP10069 Teradyne UltraFlex-HD Soc Tester inq 6
9SOCterP10549 Teradyne UltraFlex SocTester 2007 3
9SOCterP10833 Teradyne Ultra Flex-HD Soc Tester 2019 4
9SOCterP10834 Teradyne Ultra Flex-HD Soc Tester 2019 4
9SOCterP12303 Teradyne UltraFlex SoC Tester Inq. 6
9SOCterP8592 Teradyne UltraFlex-HD Soc tester 2011 1
9SOCterP8593 Teradyne UltraFlex-HD Soc tester 2012 1
9SOCterP8594 Teradyne UltraFlex-HD Soc tester 2014 1
9SOCterP8595 Teradyne UltraFlex-HD Soc tester 2017 2
9SOCterP9679 TERADYNE UltraFlex-HD Soc Tester inq. 6
9SOCterP9971 Teradyne UltraFlexiHDVS@Boardj SOC:Boardi974-232-00j inq 1
9SOCterP9972 Teradyne UltraFlexiBBAC@Boardj SOC:Boardi974-214-12j inq 1
9SOCterP9973 Teradyne UltraFlexiDC75@Boardj SOC:Boardi974-230-00j inq 1
9SOCverP12636 Verigy V93000 PS800 SoC Tester Inq. 1
9SOCyokE12464 Yokogawa TS600 ULTRA-EYE SoC Tester Inq. 1
9SOCyteP12845 YTEC S50 soc: Logic Tester Inq. 15
9TAPalpE7086 ALPHA DESIGN ACT-120V Tape: Pick up system 2006 1
9TAPalpE7087 ALPHA DESIGN ACT-120V Tape: Pick up system 2007 1
9TAPapiE7088 APIC YAMADA A-MAPS/TAPE Tape: Pick up system 2003 1
9TAPkasE11892 Kashima-electro KEC-2401 Taping machine 2016 1
9TAPlinF12297 Lintec RAD3600F/12 Tape: Fully automatic chip back protection tape laminating machine 2021 1
9TAPlinP9119 Lintec RAD3600F/12 Tape mounter 2016 1
9TAPmicM9835 MICROLINK GPD PBFTVS.SPC600DT TAP:Peel Back Force Tester 2013 1
9TAPnecE7659 NEC machinery CAP-400 Tap: Pick and place machine 2005 1
9TAPnecE7660 NEC machinery CAP-400 Tap: Pick and place machine 2005 1
9TAPnihA7030 NIHON GARTER NUT-0069 Taping machines Inq. 2
9TAPnitM11090 Nitto GR4000 Tape laminator 2010 1
9TAPnitE10477 NITTO NEL@H304 Tape remover 1992 1
9TAPnitE10479 NITTO NELD304 Taping device 1989 1
9TAPsowA7029 SOWA TP-119 Taping machines Inq. 1
9TAPsunE7672 Sun-S J.D.M TP-200 Taping system 2005 1
9TAPtakE7090 TAKATORI ATM-9000 Tape: Pick up system 1999 1
9TAPtakP11764 Takatori ATM-1100G Tape Mounter Inq. 1
9TAPtakA9730 TAKATORI ATRM-2100 Tape Remove/ 6in inq. 1
9TAPtakE7108 TAKAKI Factory ETM-3300 Taping system 2007 1
9TAPtodE12260 Todo TTI-7500 Taping machine 2014 1
9TESalpP5160 Alphax LD-1210WD Tester: LD 2002 1
9TESgloN4409 Global Numeric Inc KT-95S Tester Inq. 4
9TESltxA7025 LTX Credence N9100 Tester Inq. 2
9TESltxA7026 LTX Credence N9200 Tester Inq. 2
9TESterE12468 Teradyne A56A2 Tester Inq. 2
9TESterP8619 Teradyne HexVS Tester: Board Inq. 8
9TESterP8620 Teradyne Upac80 Tester: Board Inq. 2
9TRIapiA7024 APIC YAMADA CU-1005 Trim Form Inq. 1
9TRIapiA7015 APIC YAMADA CW-242 Trim Form Inq. 1
9TRIapiA7017 APIC YAMADA CW-766 Trim Form Inq. 2
9TRIapiA7018 APIC YAMADA CW-460 Trim Form Inq. 1
9TRIapiA7019 APIC YAMADA CW-461 Trim Form Inq. 1
9TRIapiA7020 APIC YAMADA CW-617 Trim Form Inq. 1
9TRIapiA7021 APIC YAMADA CW-788 Trim Form Inq. 1
9TRIapiA7022 APIC YAMADA CW-506 Trim Form Inq. 1
9TRIapiA7023 APIC YAMADA CW-706 Trim Form Inq. 2
9TRIapiA7016 APIC YAMADA ZW-120 Trim Form Inq. 1
9TRIgmmP7796 GMM SU52 Tri: Form 2017 1
9TRIhamD3585 Hammi FORM-102 Tri: CAM PRESS Form Inq. 1
9TRIhamD3584 Hammi TRIM-101 Trim: CAM PRESS Inq. 1
9TRIhanP12715 Hanmi CAM PRESS Trim&Form Inq. 1
9TRIyasE12443 Yasunaga MLFA-3120-7B Trim: Lead processing machine 2001 1
9VLSadvE10473 Advantest T3326A VLS:Tester 1995 2
9VLSadvE12467 Advantest T3347 VLSI: Tester Inq. 2
9VLSadvQ11184 ADVANTEST T3347A VLS:Tester inq. 1
9VLSadvE10474 Advantest T5371 VLS:Tester 2003 2
9VLSadvC668 ADVANTEST T6573 VLSI Tester Inq. 1
9VLSadvE10475 Advantest T6573 VLS:Tester 2001 1
9VLSadvG8496 Advantest T6573 VLSI Tester Inq. 1
9VLSadvE9695 Advantest T6672 VLS:Tester inq. 1
9VLSadvP10884 Advantest T6672 VLSI:tester inq. 3
9VLSandS4667 Ando DIC8034 VLS: IC Tester Inq. 1
9VLSstaF9840 STATEC SW6500 VLS:IC TESTER 2011 1
9VLSstaF9841 STATEC SW8200 VLS:IC TESTER 2011 1
9VLSterE12261 Teradyne J973 VLSI: IC tester 2000 1
9VLStskN106 TSK EM-20 VLSI Tester Inq. 1
9VLSyokE10302 Yokogawa AL9737 VLS:Tester 1999 1
9VLSyokE10881 Yokogawa AL9737 VLS:Tester 2000 1
9VLSyokE7600 Yokogawa TS6000H VLSI: Tester Inq. 1
9VLSyokS4668 Yokogawa TS6900 VLS: Tester Inq. 1
9WIRasmP9713 ASM AB356 Wire bonder inq. 2
9WIRasmG7958 ASM Ab559A Wire Bonder 1997-2000 3
9WIRasmL12848 ASM AB559A Wire Bonder 1998 2
9WIRasmF11775 ASM EAGLE AERO Wire Bonder 2021 5
9WIRasmF11776 ASM EAGLE AERO Wire Bonder 2021 5
9WIRasmF11777 ASM EAGLE AERO Wire Bonder 2021 5
9WIRasmF11778 ASM EAGLE AERO Wire Bonder 2021 4
9WIRasmP9131 ASM HARRIER Wire Bonder 2005 1
9WIRasmP10436 ASM iHawk Wire bonder inq 1
9WIRasmT11176 ASM iHawk Wire bonder inq. 40
9WIRasmT11177 ASM IhawkXtreme Wire bonder inq. 28
9WIRasmH739 ASM Twin Eagle Wire Bonder 2007 3
9WIRasmM12219 ASM Xpress GoCu Wire Bonder Inq. 2
9WIRinqA923 Inq. Pcg-4 Wire Bonder 2010 1
9WIRjafP846 JAF WS-3060 Wire Bonder/Al Inq. 8
9WIRjafP847 JAF WS-3100 Wire Bonder/Al Inq. 2
9WIRk&sP5178 K&S 4123 Wire Bonder Inq. 1
9WIRk&sP12616 K&S AT_PREMIER_PLUS wire: Bumping bonder 2016 2
9WIRk&sM12513 K&S Iconn MEM Plus ELA Wire Bonder Inq. 3
9WIRk&sM12853 K&S IConn MEM PLUS ELA Wire Bonder Inq. 12
9WIRk&sT6966 K&S KS MAXUM PLUS Wire Bonder 2005 1
9WIRk&sT6967 K&S KS MAXUM PLUS Wire Bonder 2006 2
9WIRk&sT6963 K&S KS8028 Wire Bonder 1999 3
9WIRk&sT6964 K&S KS8028 PPS Wire Bonder 2001 3
9WIRk&sT6965 K&S KS8028 PPS Wire Bonder 2002 1
9WIRk&sF240 K&S MAXUM Wire Bonder 2003 10
9WIRk&sM12695 K&S Maxum Ultra Wire Bonder Inq. 15
9WIRk&sP12704 K&S Maxum Plus Wire Bonder 2005 2
9WIRk&sP12705 K&S Maxum Ultra Wire Bonder 2007-2008 2
9WIRk&sP12714 K&S Power Fusion Wir: Wedge Bonder Inq. 3
9WIRk&sM12712 K&S Rapid wir: Automatic Wire bonder/ Ball bonder Inq. 20
9WIRkaiE11411 KAIJO FB-118CH Wire Bonder 1999/1998 3
9WIRkaiE12486 Kaijo FB-170 Wire Bonder 2004 1
9WIRkaiE12487 Kaijo FB-170 Wire Bonder 2006 1
9WIRkaiE12488 Kaijo FB-170 Wire Bonder 2007 1
9WIRkaiE12489 Kaijo FB-900 Wire Bonder 2012 1
9WIRkaiE12697 Kaijo FB-118A Wire Bonder 1998 1
9WIRkaiE12698 Kaijo FB-118CH Wire Bonder Inq. 1
9WIRkaiM11781 KAIJO FB-131 Wire Bonder 1997-2000 3
9WIRkaiQ12066 KAIJO FB-131 Wire Bonder 2000 1
9WIRkaiQ12081 KAIJO FB-131 Wire Bonder 1999 1
9WIRkaiQ12709 Kaijo FB-988 Wire Bonder 2015 1
9WIRkaiS832 KAIJO FB-128C Wire Bonder Inq. 1
9WIRkaiS833 KAIJO FB-131C Wire Bonder Inq. 1
9WIRkaiS888 KAIJO FB-106AH Wire Bonder/Au Inq. 3
9WIRkaiS1374 KAIJO FB-131 Wire Bonder Inq. 1
9WIRkaiS4265 KAIJO FB-118CH Wire Bonder 1999 1
9WIRkyuE12490 Kyushu Matsushita HW27U Wire Bonder Inq. 1
9WIRpanE7654 Panasonic HW27U-H Wire Bonder 1996 1
9WIRpanE7655 Panasonic HW27U-H Wire Bonder 1997 1
9WIRpanE7656 Panasonic HW27U-H Wire Bonder 1999 1
9WIRpanS939 Panasonic HW22 Wire Bonder Inq. 1
9WIRpanE9011 Panasonic HW-22U-H Wire Bonder Inq. 1
9WIRshiM12301 Shinkawa ACB-1000 Wire Bonder Inq. 4
9WIRshiP9130 Shinkawa ACB-40 Wire Bonder 1997 1
9WIRshiM12300 Shibaura HN-943 Wire Bonder Inq. 6
9WIRshiP9859 Shinkawa inq Wire bonders parts inq 1
9WIRshiO1884 Shinkawa SUS-200 Wire Bonder Inq. 1
9WIRshiO1885 Shinkawa SUS-200 Wire Bonder Inq. 1
9WIRshiQ6476 Shinkawa SWB-FA-US Wire Bonder 1991 1
9WIRshiA7000 Shinkawa UTC-200-SUPER Wire Bonder Inq. 2
9WIRshiA7001 Shinkawa UTC-200-SUPER-U Wire Bonder Inq. 6
9WIRshiA7002 Shinkawa UTC-300BI Wire Bonder Inq. 10
9WIRshiA7003 Shinkawa UTC-300BI Wire Bonder Inq. 11
9WIRshiE10301 Shinkawa UTC-2000 Wire bonder 2006 1
9WIRshiE12491 Shinkawa UTC-5100 Wire Bonder 2014 1
9WIRshiE7134 Shinkawa UTC-200BI Wire Bonder 1996 1
9WIRshiE7136 Shinkawa UTC-370/BI Wire Bonder 2000 3
9WIRshiF241 Shinkawa UTC-200 Wire Bonder 1996 10
9WIRshiF12268 Shinkawa UTC400BI Wire Bonder 2000 20
9WIRshiK8743 Shinkawa UTC-231BI Wire Bonder Inq. 2
9WIRshiL11854 Shinkawa UTC1000 Wire Bonder/Gold 2004 3
9WIRshiL12810 Shinkawa UTC-475 BI Wire Bonder Inq. 1
9WIRshiM12220 Shinkawa UTC2000 Wire Bonder Inq. 2
9WIRshiS2988 Shinkawa UTC-200 Wire Bonder 1996 4
9WIRshiS4262 Shinkawa UTC-46A Wire Bonder 1994 1
9WIRshiS4264 Shinkawa UTC-475 Wire Bonder 2008 1
9WIRshiS4276 Shinkawa UTC-445 Wire Bonder 2002 1
9WIRshiS4277 Shinkawa UTC-445 Wire Bonder 2003 1
9WIRsupA10531 SUPER POWER SPB-TS668 Wire bonder 1999 1
9WIRultE12732 Ultrasonic Engineering SW-1-20A Wire Bonder 1986 1
9WIRultH8685 Ultrasonic Engineering URB-614F-SA-G Wire Bonder: AL 2011 1
9WIRultH9531 Ultrasonic URB-614F-SA-G Wire Bonder 2006 1
0